Re: [SR-Users] Does t_newtran() behave different than in Kamailio 1.5?

2011-05-17 Thread Henning Westerholt
On Monday 16 May 2011, Daniel-Constantin Mierla wrote: function call will not be saved into transaction!!! However in 3.X TM documentation such note is not present: http://kamailio.org/docs/modules/devel/modules/tm.html#t_newtran So, does 3.X behave different and changes after

Re: [SR-Users] Kamailio 3.1.3 uncontrolled core dump

2011-05-17 Thread Dominguez Jover, Ricardo
Hi everybody, In the config file I had set debug level to 9 (debug=9). If I change it to its default debug=2, then Kamailio doesn´t crash. For example, if I call a non existent domain it crashes. Is there any reason for this behaviour? Regards, Ricardo -Mensaje original- De:

[SR-Users] ~ operator has no effect

2011-05-17 Thread Juha Heinanen
according to core cookbook: ~ : bitwise NOT however, looks like it has no effect. i have $var(test) = ~2; xlog(L_INFO, test is $var(test)\n); and i get to syslog: May 17 18:10:40 sip /usr/sbin/sip-proxy[29280]: INFO: test is 2 have i misunderstood the operator or is there a

Re: [SR-Users] setbflag() documentation missing?

2011-05-17 Thread Daniel-Constantin Mierla
Hello, the function is now in kex module: http://kamailio.org/docs/modules/stable/modules_k/kex.html#id2924906 Cheers, Daniel On 5/17/11 4:29 PM, Juha Heinanen wrote: setbflag() is not documented in core cookbook. is it missing or somewhere else? -- juha

Re: [SR-Users] setbflag() documentation missing?

2011-05-17 Thread Juha Heinanen
Daniel-Constantin Mierla writes: the function is now in kex module: http://kamailio.org/docs/modules/stable/modules_k/kex.html#id2924906 thanks, juha ___ SIP Express Router (SER) and Kamailio (OpenSER) - sr-users mailing list

Re: [SR-Users] ~ operator has no effect

2011-05-17 Thread Brandon Armstead
Juha, Try $var(test) = $var(test) ~ 2; Sincerely, Brandon Armstead On Tue, May 17, 2011 at 8:13 AM, Juha Heinanen j...@tutpro.com wrote: according to core cookbook: ~ : bitwise NOT however, looks like it has no effect. i have $var(test) = ~2; xlog(L_INFO, test is