Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-16 Thread Hal Murray
What objections are there to Yahoo Groups? They won't let me see any message bodies unless I accept their cookies. I'm not sure what happens next if I jump through that hoop. I'm happy to sign-up/register/whatever if I want to sent/post something. I'm not willing to do much to read what

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-16 Thread Eric Fort
On Tue, Apr 14, 2009 at 12:40 PM, Magnus Danielson mag...@rubidium.dyndns.org wrote: I have my own email-lists on my own server... if someone asked me to set the list up, I would just do it. Cheers, Magnus AND On Tue, Apr 14, 2009 at 3:50 PM, John Miles jmi...@pop.net wrote: What

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-16 Thread Chuck Harris
Hal Murray wrote: Google didn't do anything to usenet. They did provide a web based interface into usenet, and bought the dejanews archive of all non-binary groups. Google didn't do anything is the key part. In particular, they didn't do anything when spammers discovered another free

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-16 Thread Chuck Harris
Eric Fort wrote: My objection to yahoo groups is they require you to be a member of yahoo giving out all mannor of personal information just so you can access the group archives using some funky interface they provide. They do not! They ask for information, but they do nothing to verify

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-16 Thread Chris Mack
Firefox Tools-Clear Personal Data... You can even set it up to ask you to clear personal data when you close the browser and when you start Firefox also has add-ins like ghost and UserAgent to allow you to watch as you are being tracked by Google (through JavaScript)... Even

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-15 Thread John Day
At 01:40 PM 4/14/2009, Luis Cupido wrote: I believe it is to be ham or hobby oriented Am I right ? (If so some rewording of the list purpose might be adequate... thinking out loud...) The description does say: Focus includes but isn't limited to amateur radio and metrology applications. So I

[time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread John Miles
... for those who would like to participate in HDL discussions that aren't on-topic for existing lists: http://groups.yahoo.com/group/HDLTalk Any VHDL or Verilog HDL-related topics are welcome. To keep spammers away, new member signups require moderator approval. For this reason, please

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread Scott Newell
At 12:29 PM 4/14/2009 , John Miles wrote: ... for those who would like to participate in HDL discussions that aren't on-topic for existing lists: http://groups.yahoo.com/group/HDLTalk Any VHDL or Verilog HDL-related topics are welcome. How will this compare to comp.lang.verilog, comp.lang.vhdl,

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread Luis Cupido
I believe it is to be ham or hobby oriented Am I right ? (If so some rewording of the list purpose might be adequate... thinking out loud...) Luis Cupido ct1dmk. Scott Newell wrote: At 12:29 PM 4/14/2009 , John Miles wrote: ... for those who would like to participate in HDL discussions that

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread John Miles
At 12:29 PM 4/14/2009 , John Miles wrote: ... for those who would like to participate in HDL discussions that aren't on-topic for existing lists: http://groups.yahoo.com/group/HDLTalk Any VHDL or Verilog HDL-related topics are welcome. How will this compare to comp.lang.verilog,

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread Michael Sokolov
John Miles jmi...@pop.net wrote: Not everybody can stomach Google's perversion of Usenet (which admittedly was no small engineering feat in itself). Aren't there still non-Google ways of accessing Usenet? MS ___ time-nuts mailing list --

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread Magnus Danielson
Michael Sokolov skrev: John Miles jmi...@pop.net wrote: Not everybody can stomach Google's perversion of Usenet (which admittedly was no small engineering feat in itself). Aren't there still non-Google ways of accessing Usenet? There is. Find the Wikipedia page for Usenet to find several

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread Chuck Harris
John Miles wrote: At 12:29 PM 4/14/2009 , John Miles wrote: ... for those who would like to participate in HDL discussions that aren't on-topic for existing lists: http://groups.yahoo.com/group/HDLTalk Any VHDL or Verilog HDL-related topics are welcome. How will this compare to

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread John Miles
Michael Sokolov skrev: John Miles jmi...@pop.net wrote: Not everybody can stomach Google's perversion of Usenet (which admittedly was no small engineering feat in itself). Aren't there still non-Google ways of accessing Usenet? There is. Find the Wikipedia page for Usenet to find

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread John Miles
Google didn't do anything to usenet. They did provide a web based interface into usenet, and bought the dejanews archive of all non-binary groups. If you were a hardcore DejaNews user, as I was, you were probably not very happy with the way Google handled the archive and its user interface.

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread Chuck Harris
I was a hardcore dajanews user. I guess that I even know it by that name would indicate that I was around back then. Google wrecked dejanews in the spirit of political correctness, the nanny state, and trying to pretend that usenet is just a part of google groups. Erasing all of the email

Re: [time-nuts] OT: Verilog/VHDL discussion list created...

2009-04-14 Thread Michael Sokolov
Chuck Harris cfhar...@erols.com wrote: Google wrecked dejanews in the spirit of political correctness, the nanny state, and trying to pretend that usenet is just a part of google groups. Erasing all of the email addresses, and other things. Does anyone know exactly where (building, city,