Re: [USRP-users] Adding my custom RX module inside the FPGA (USRP b205mini)

2020-01-02 Thread Marcus Müller via USRP-users
Hi Varban, On Tue, 2019-12-31 at 21:27 +0200, Varban Metodiev wrote: > Hi Marcus, > > I am doing something like a PWM over radio. I need to measure the > length of the pulse that is being received. My Verilog module does > this and outputs a 16-bit variable that stores the samples count >

Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2020-01-02 Thread Jerrid Plymale via USRP-users
Yes, I have just been following the guide on the getting started with RFNoC page. Best Regards, Jerrid From: Brian Padalino Sent: Thursday, January 2, 2020 8:52 AM To: Jerrid Plymale Cc: usrp-users@lists.ettus.com Subject: Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC

Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2020-01-02 Thread Brian Padalino via USRP-users
On Thu, Jan 2, 2020 at 11:48 AM Jerrid Plymale wrote: > I am trying to generate a custom RFNoC FPGA Image using this version of > UHD. > OK. So you've checked out fde2a94eb7231af859653db8caaf777ae2b66199 and you're trying to build a regular image with Vivado 2018.3. Correct? Brian >

Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2020-01-02 Thread Jerrid Plymale via USRP-users
I am trying to generate a custom RFNoC FPGA Image using this version of UHD. Best Regards, Jerrid From: Brian Padalino Sent: Thursday, January 2, 2020 8:44 AM To: Jerrid Plymale Cc: usrp-users@lists.ettus.com Subject: Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC

Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2020-01-02 Thread Brian Padalino via USRP-users
On Thu, Jan 2, 2020 at 11:42 AM Jerrid Plymale wrote: > Hello Brian, > > > > I have installed UHD 3.15.0.0-124-geb448043 > And this is what you're trying to build? Brian > ___ USRP-users mailing list USRP-users@lists.ettus.com

Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2020-01-02 Thread Jerrid Plymale via USRP-users
Hello Brian, I have installed UHD 3.15.0.0-124-geb448043 Best Regards, Jerrid ___ USRP-users mailing list USRP-users@lists.ettus.com http://lists.ettus.com/mailman/listinfo/usrp-users_lists.ettus.com

Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2020-01-02 Thread Brian Padalino via USRP-users
On Thu, Jan 2, 2020 at 11:24 AM Jerrid Plymale via USRP-users < usrp-users@lists.ettus.com> wrote: > Hello Marcus, > > So I tried cleaning the uhd-fpga folder as you suggested, however I ended > up getting the same errors and the image still failed to build. I have > attached the build log again

Re: [USRP-users] [UHD] 3.15.0.0 Release Announcement

2020-01-02 Thread Michael Dickens via USRP-users
Excellent & Happy New Year to the UHD / Volk / GNU Radio community! For OSX (Mac OS X / macOS) users, I updated the 'uhd' port in MacPorts to this release last night; it is available right now! We (MacPorts developers) recommend doing the following semi-regularly to keep your 'ports' install up