Module Name:    src
Committed By:   uebayasi
Date:           Fri Oct 10 08:24:54 UTC 2014

Modified Files:
        src/sys/conf: files std
        src/sys/uvm: files.uvm

Log Message:
Define "uvm" attribute and mark files.


To generate a diff of this commit:
cvs rdiff -u -r1.1106 -r1.1107 src/sys/conf/files
cvs rdiff -u -r1.15 -r1.16 src/sys/conf/std
cvs rdiff -u -r1.20 -r1.21 src/sys/uvm/files.uvm

Please note that diffs are not public domain; they are subject to the
copyright notices on the relevant files.

Modified files:

Index: src/sys/conf/files
diff -u src/sys/conf/files:1.1106 src/sys/conf/files:1.1107
--- src/sys/conf/files:1.1106	Fri Oct 10 08:18:04 2014
+++ src/sys/conf/files	Fri Oct 10 08:24:54 2014
@@ -1,4 +1,4 @@
-#	$NetBSD: files,v 1.1106 2014/10/10 08:18:04 uebayasi Exp $
+#	$NetBSD: files,v 1.1107 2014/10/10 08:24:54 uebayasi Exp $
 #	@(#)files.newconf	7.5 (Berkeley) 5/10/93
 
 version 	20140824
@@ -1489,7 +1489,7 @@ include "secmodel/files.secmodel"
 #
 # kernel sources
 #
-define	kern
+define	kern:	uvm
 file	compat/common/compat_mod.c	compat_netbsd | compat_netbsd32
 file	conf/debugsyms.c
 file	dev/auconv.c			auconv | mulaw

Index: src/sys/conf/std
diff -u src/sys/conf/std:1.15 src/sys/conf/std:1.16
--- src/sys/conf/std:1.15	Fri Oct 10 08:18:04 2014
+++ src/sys/conf/std	Fri Oct 10 08:24:54 2014
@@ -1,10 +1,11 @@
-# $NetBSD: std,v 1.15 2014/10/10 08:18:04 uebayasi Exp $
+# $NetBSD: std,v 1.16 2014/10/10 08:24:54 uebayasi Exp $
 #
 # standard MI 'options'
 #
 # this file is for options which can't be off-by-default for some reasons.
 # "it's commonly used" is NOT a good reason to enable options here.
 
+options	UVM
 options	KERN
 
 # the following options are on-by-default to keep

Index: src/sys/uvm/files.uvm
diff -u src/sys/uvm/files.uvm:1.20 src/sys/uvm/files.uvm:1.21
--- src/sys/uvm/files.uvm:1.20	Tue May 17 05:32:31 2011
+++ src/sys/uvm/files.uvm	Fri Oct 10 08:24:54 2014
@@ -1,4 +1,4 @@
-#	$NetBSD: files.uvm,v 1.20 2011/05/17 05:32:31 mrg Exp $
+#	$NetBSD: files.uvm,v 1.21 2014/10/10 08:24:54 uebayasi Exp $
 
 #
 # UVM options
@@ -13,35 +13,36 @@ defflag				PDPOLICY_CLOCKPRO
 defparam			USER_VA0_DISABLE_DEFAULT
 defflag opt_uvm_page_trkown.h	UVM_PAGE_TRKOWN
 
-file	uvm/uvm_amap.c
-file	uvm/uvm_anon.c
-file	uvm/uvm_aobj.c
-file	uvm/uvm_bio.c
+define	uvm
+file	uvm/uvm_amap.c			uvm
+file	uvm/uvm_anon.c			uvm
+file	uvm/uvm_aobj.c			uvm
+file	uvm/uvm_bio.c			uvm
 file	uvm/uvm_coredump.c		coredump
-file	uvm/uvm_device.c
-file	uvm/uvm_emap.c
-file	uvm/uvm_fault.c
-file	uvm/uvm_glue.c
-file	uvm/uvm_init.c
-file	uvm/uvm_io.c
-file	uvm/uvm_km.c
+file	uvm/uvm_device.c		uvm
+file	uvm/uvm_emap.c			uvm
+file	uvm/uvm_fault.c			uvm
+file	uvm/uvm_glue.c			uvm
+file	uvm/uvm_init.c			uvm
+file	uvm/uvm_io.c			uvm
+file	uvm/uvm_km.c			uvm
 file	uvm/uvm_kmguard.c		debug
-file	uvm/uvm_loan.c
-file	uvm/uvm_map.c
-file	uvm/uvm_meter.c
-file	uvm/uvm_mmap.c
-file	uvm/uvm_mremap.c
-file	uvm/uvm_object.c
-file	uvm/uvm_page.c
-file	uvm/uvm_pager.c
-file	uvm/uvm_pdaemon.c
+file	uvm/uvm_loan.c			uvm
+file	uvm/uvm_map.c			uvm
+file	uvm/uvm_meter.c			uvm
+file	uvm/uvm_mmap.c			uvm
+file	uvm/uvm_mremap.c		uvm
+file	uvm/uvm_object.c		uvm
+file	uvm/uvm_page.c			uvm
+file	uvm/uvm_pager.c			uvm
+file	uvm/uvm_pdaemon.c		uvm
 file	uvm/uvm_pdpolicy_clock.c	!pdpolicy_clockpro
 file	uvm/uvm_pdpolicy_clockpro.c	pdpolicy_clockpro
-file	uvm/uvm_pglist.c
-file	uvm/uvm_readahead.c
-file	uvm/uvm_stat.c                  
+file	uvm/uvm_pglist.c		uvm
+file	uvm/uvm_readahead.c		uvm
+file	uvm/uvm_stat.c                	uvm
 file	uvm/uvm_swap.c			vmswap
 file	uvm/uvm_swapstub.c		!vmswap
-file	uvm/uvm_unix.c
-file	uvm/uvm_user.c
-file	uvm/uvm_vnode.c
+file	uvm/uvm_unix.c			uvm
+file	uvm/uvm_user.c			uvm
+file	uvm/uvm_vnode.c			uvm

Reply via email to