Author: jmcneill
Date: Fri Apr  8 23:07:16 2016
New Revision: 297725
URL: https://svnweb.freebsd.org/changeset/base/297725

Log:
  Attach hwreset resources at the same time as clock resources.

Modified:
  head/sys/arm/allwinner/aw_reset.c

Modified: head/sys/arm/allwinner/aw_reset.c
==============================================================================
--- head/sys/arm/allwinner/aw_reset.c   Fri Apr  8 21:42:35 2016        
(r297724)
+++ head/sys/arm/allwinner/aw_reset.c   Fri Apr  8 23:07:16 2016        
(r297725)
@@ -159,5 +159,6 @@ static driver_t aw_reset_driver = {
 
 static devclass_t aw_reset_devclass;
 
-DRIVER_MODULE(aw_reset, simplebus, aw_reset_driver, aw_reset_devclass, 0, 0);
+EARLY_DRIVER_MODULE(aw_reset, simplebus, aw_reset_driver, aw_reset_devclass,
+    0, 0, BUS_PASS_BUS + BUS_PASS_ORDER_MIDDLE);
 MODULE_VERSION(aw_reset, 1);
_______________________________________________
svn-src-head@freebsd.org mailing list
https://lists.freebsd.org/mailman/listinfo/svn-src-head
To unsubscribe, send any mail to "svn-src-head-unsubscr...@freebsd.org"

Reply via email to