On 17.06.24 21:14, Tim Harvey wrote:
Now that kaslr-seed is automatically added to the chosen node if DM_RNG
is enabled, adjust the test to expect this.

We need to check that if CONFIG_EFI_TCG2_PROTOCOL=y no kaslr-seed node
is passed to EFI binaries.

The right location for such a test is lib/efi_selftest/efi_selftest_tcg2.c.

We need as similar check for CONFIG_MEASURED_BOOT=y.

Best regards

Heinrich


Signed-off-by: Tim Harvey <thar...@gateworks.com>
Cc: Michal Simek <michal.si...@amd.com>
Cc: Andy Yan <andy....@rock-chips.com>
Cc: Akash Gajjar <gajjar04ak...@gmail.com>
Cc: Ilias Apalodimas <ilias.apalodi...@linaro.org>
Cc: Simon Glass <s...@chromium.org>
Cc: Patrick Delaunay <patrick.delau...@foss.st.com>
Cc: Patrice Chotard <patrice.chot...@foss.st.com>
Cc: Devarsh Thakkar <devar...@ti.com>
Cc: Heinrich Schuchardt <xypron.g...@gmx.de>
Cc: Hugo Villeneuve <hvillene...@dimonoff.com>
Cc: Marek Vasut <ma...@denx.de>
Cc: Tom Rini <tr...@konsulko.com>
Cc: Chris Morgan <macromor...@hotmail.com>
---
v6: new patch
---
  test/cmd/fdt.c | 4 ++++
  1 file changed, 4 insertions(+)

diff --git a/test/cmd/fdt.c b/test/cmd/fdt.c
index 547085521758..537d8a338bbf 100644
--- a/test/cmd/fdt.c
+++ b/test/cmd/fdt.c
@@ -1347,6 +1347,8 @@ static int fdt_test_chosen(struct unit_test_state *uts)
        ut_assert_nextlinen("\tu-boot,version = "); /* Ignore the version 
string */
        if (env_bootargs)
                ut_assert_nextline("\tbootargs = \"%s\";", env_bootargs);
+       if (CONFIG_IS_ENABLED(DM_RNG))
+               ut_assert_nextlinen("\tkaslr-seed = ");
        ut_assert_nextline("};");
        ut_assertok(ut_check_console_end(uts));

@@ -1363,6 +1365,8 @@ static int fdt_test_chosen(struct unit_test_state *uts)
        ut_assert_nextlinen("\tu-boot,version = "); /* Ignore the version 
string */
        if (env_bootargs)
                ut_assert_nextline("\tbootargs = \"%s\";", env_bootargs);
+       if (CONFIG_IS_ENABLED(DM_RNG))
+               ut_assert_nextlinen("\tkaslr-seed = ");
        ut_assert_nextline("};");
        ut_assertok(ut_check_console_end(uts));


Reply via email to