I've created a (partially) fixed verilog.lang file (attached) - this new
file includes a few, previously missing, keywords.

I'll attach a patch as well to make it more obvious what's changed.

** Attachment added: "verilog.lang with some added keywords."
   http://launchpadlibrarian.net/24772631/verilog.lang

-- 
keyword "fork" not formatted in Verliog mode.
https://bugs.launchpad.net/bugs/327785
You received this bug notification because you are a member of Ubuntu
Bugs, which is subscribed to Ubuntu.

-- 
ubuntu-bugs mailing list
ubuntu-bugs@lists.ubuntu.com
https://lists.ubuntu.com/mailman/listinfo/ubuntu-bugs

Reply via email to