Hi all,

Please ignore this e-mail thread, it was unintentionally sent to usrp-users
by an "auto-completion" error.

Sorry for this,
Serge
_______________________________________________
USRP-users mailing list
USRP-users@lists.ettus.com
http://lists.ettus.com/mailman/listinfo/usrp-users_lists.ettus.com

Reply via email to