To clarify, the communication has previously worked with the same 
software/radio configuration.

This is an evolved error and we would just like to know what it means so that 
we have the hope of fixing it - needs to be a remote fix as we no longer have 
physical access to the radio.

thanks - JP

-----Original Message-----
From: Marcus D. Leech <patchvonbr...@gmail.com> 
Sent: Wednesday, 19 October 2022 09:52
To: usrp-users@lists.ettus.com
Subject: [USRP-users] Re: [ERROR] [RFNOC::GRAPH] Error during initialization of 
block 0/Radio#0

WARNING: This message has originated from an untrusted source. Be mindful of 
attachments and embedded links.

On 2022-10-18 19:41, Jonathan Pratt wrote:
> Hi
>   We are trying to talk to an x310. Access to the device is limited to the 
> ethernet connection.
> The message we get back when starting a gnuradio flow graph is [ERROR] 
> [RFNOC::GRAPH] Error during initialization of block 0/Radio#0!
> [ERROR] [RFNOC::GRAPH] Caught exception while initializing graph: 
> RuntimeError: self_cal_adc_capture_delay: Self calibration failed. 
> Convergence error.
>
> The X310 has ONE TwinRx RF Daughterboard attached.
>
> Can someone please indicate what the error means and suggest a 
> workaround? Please ignore any prefix that we have added
>
> thanks - JP
> _______________________________________________
> USRP-users mailing list -- usrp-users@lists.ettus.com To unsubscribe 
> send an email to usrp-users-le...@lists.ettus.com
What version of UHD are you using?  What version of Gnu Radio?

I've been using an X310 with UHD 4.1.0.5 and GR version 3.10.1.1 with two 
TwinRX installed, without any issues.  I'm using
   the first SFP port (SFP0) but at 10Gbit.   I've previously used it at
1GBit without any issues.

_______________________________________________
USRP-users mailing list -- usrp-users@lists.ettus.com To unsubscribe send an 
email to usrp-users-le...@lists.ettus.com
_______________________________________________
USRP-users mailing list -- usrp-users@lists.ettus.com
To unsubscribe send an email to usrp-users-le...@lists.ettus.com

Reply via email to