Say the xdc file required this line

create_clock -period 3.000 -name A -waveform {0.000 1.500} -add [get_pins B]

Where would the A and B be placed in the constructor of ClockConstraint?  

CC = ClockConstraint( signal=??, name=?? , freq=None , period=3.000, 
port_en=False, virtual_en=False, waveform_min=0.000 , waveform_max=1.500) 



-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/02fff784-b6f4-4b9f-bc67-91870d82cbden%40lists.berkeley.edu.

Reply via email to