I have a warning.
../../../src/ieee/numeric_std-body.v93:1710:7:@0ms:(assertion warning):
NUMERIC_STD."=": metavalue detected, returning FALSE
./jpeg_tb:info: simulation stopped by --stop-time

This is correct at time 0 it is not possible the asignment. The signal
is not valid at time 0 but later.
If I lock at the waves in gtkwave erverytime it is undefined.


Ok it is my programming style.
Typical I have a process which is stimulate by a clk and second I use
the when statement outside the process.
This is very practical for an address decoder or write enable.

process(clk)
begin
if clk'event and clk='1' then

State _machine---
end if;
end process;

data<="1111" when state=ready else"ZZZZ"; --this is not actualised by ghdl


Rene



_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to