Hello Every one


I am using ghdl on Ubuntu 14.04 and  vim syntastic


before I used to have no problem with the sequence:



  ghdl -a my_file.vhd

  ghdl -e my_file

  ghdl -r my_file  



but after installing syntastic (and I am not sure of this time-connection).


I cannot execute ghdl -r any more whenever I do that I get 


$> ghdl:compilation error


without any further description 


ls -l on my work folder shows:



  -rw-------1 my_pc my_pc 412696 May  4 16:48 my_file



it seems to me that the produced binary is not even executable.


chmod +x my_file  has no effect. 


-----------------------------------------------------------
USE work.all;

USE std.textio.all;
-----------------------------------------------------------
ENTITY crct1 IS
END ENTITY crct1;
-----------------------------------------------------------
ARCHITECTURE beh OF crct1 IS
BEGIN
        PROCESS IS
                VARIABLE v1 :BIT;
                VARIABLE v2 :BIT;
                VARIABLE v3 :BIT;
        BEGIN
                v3 := v1 AND v2;
                WAIT;
        END PROCESS;

END ARCHITECTURE beh;

Could you suggest a solution.
 Note: I am new to Linux,vim and vhdl.

    
                                          
_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to