On 05/05/16 13:23, Tristan Gingold wrote:
On 05/05/16 11:23, Tarek Najjar wrote:

$> ghdl -v
GHDL 0.31 (20140108) [Dunoon edition]
  Compiled with GNAT Version: 4.8
  GCC back-end code generator
Written by Tristan Gingold.

Copyright (C) 2003 - 2014 Tristan Gingold.
GHDL is free software, covered by the GNU General Public License.  There
is NO
warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR
PURPOSE.

Note that this version is a little bit old, you can download the latest
release from github.

$> ghdl -r -v my_file
./my_file
ghdl: compilation error

Ok, and what about:
$ ghdl -e -v my_file

Also, if the top unit is named crct1 (as it is from the file you posted), you should do:

$ ghdl -e crct1
$ ghdl -r crct1

Tristan.


_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to