Hallo Patrick,

Ja ich benutze auch GHDL in meiner CPU.
 Bin aber nicht auf einem Project hub vertreten.
alles auf der  Homepage.
http://www.dossmatik.de/mais-cpu.html



www.
Am 22.02.2017 um 04:37 schrieb Patrick Lehmann:
>
> Hello,
>
>  
>
> user 1138-4EB currently creates a reworked, restructured and extended
> version of GHDL’s documentation.
>
> He added a section: “Who uses GHDL?”
>
>  
>
> Here is an early preview:
>
>  
>
> He created Shields (https://www.shields.io) to the project
> hub/repository and to the main documentation. A project name and
>
> a brief description is also presented per project.
>
>  
>
> Are there any other users using GHDL?
>
>  
>
>  
>
> ------
>
> Another upcoming feature is that we want to ease regression testing
> with GHDL. PoC and VUnit already use locally GHDL builds
>
> on Travis-CI to test their VHDL code. User 1138-4EB also created a set
> of Docker containers, which work on Travis-CI. So using
>
> GHDL on Travis will be easier in the future.
>
>  
>
> ------
>
> I’m working on building GHDL with GCC backend on AppVeyor (free
> Windows build system),Travis-CI is planned for the future,
>
> to provide GHDL with GCC backend for Windows (MinGW32/MinGW64). This
> will further allow code coverage collection on
>
> AppVeyor and Travis-CI.
>
>  
>
> Has anyone experience with the Coveralls API to report user defined
> coverage data from Travis to Coveralls?
>
>  
>
>  
>
> Kind regards
>
>     Patrick Lehmann
>
>  
>
> -----------------------------------
>
> Wissenschaftliche Hilfskraft
>
>  
>
> Technische Universität Dresden
>
> Fakultät Informatik
>
> Institut für Technische Informatik
>
> Lehrstuhl VLSI-Entwurfssysteme, Diagnostik und Architektur
>
> 01062 Dresden
>
> Tel.:   +49 351 463-38451
>
> Fax:    +49 351 463-38324
>
> Raum:   APB-1020
>
> E-Mail: patrick.lehm...@tu-dresden.de
> <mailto:patrick.lehm...@tu-dresden.de>
>
> WWW:    http://vlsi-eda.inf.tu-dresden.de
> <http://vlsi-eda.inf.tu-dresden.de/>
>
>  
>
>
>
> _______________________________________________
> Ghdl-discuss mailing list
> Ghdl-discuss@gna.org
> https://mail.gna.org/listinfo/ghdl-discuss

_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to