>        adder_0: entity work.adder port map (i0 => i0, i1 => i1, ci => ci,
                                 s => s, co => co);
 
> adder is not directly visible.
 
Thanks but "work" does not improve anything. I am still getting the same "no declaration for adder" error.
_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to