On 13/05/17 15:45, Paebbels wrote:
@David, @Tristan

Shouldn't there be an error that "adder_0" is using a direct entity
instantiation and
thus a binding in the architecture can't be applied?

That's what I get:

analyze adder_tb2.vhdl
adder_tb2.vhdl:12:8:error: specification does not apply to direct instantiation

Tristan.


_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to