[gem5-dev] Cron /z/m5/regression/do-regression quick

2016-10-11 Thread Cron Daemon
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing-ruby: 
FAILED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/tru64/simple-timing-ruby: 
FAILED!
* build/ALPHA/tests/opt/quick/se/50.memtest/alpha/linux/memtest-ruby: 
FAILED!
* build/ALPHA/tests/opt/quick/se/60.rubytest/alpha/linux/rubytest-ruby: 
FAILED!
* 
build/ALPHA_MOESI_hammer/tests/opt/quick/se/50.memtest/alpha/linux/memtest-ruby-MOESI_hammer:
 FAILED!
* 
build/ALPHA_MOESI_hammer/tests/opt/quick/se/00.hello/alpha/tru64/simple-timing-ruby-MOESI_hammer:
 FAILED!
* 
build/ALPHA_MOESI_hammer/tests/opt/quick/se/00.hello/alpha/linux/simple-timing-ruby-MOESI_hammer:
 FAILED!
* 
build/ALPHA_MOESI_hammer/tests/opt/quick/se/60.rubytest/alpha/linux/rubytest-ruby-MOESI_hammer:
 FAILED!
* 
build/ALPHA_MESI_Two_Level/tests/opt/quick/se/50.memtest/alpha/linux/memtest-ruby-MESI_Two_Level:
 FAILED!
* 
build/ALPHA_MESI_Two_Level/tests/opt/quick/se/00.hello/alpha/tru64/simple-timing-ruby-MESI_Two_Level:
 FAILED!
* 
build/ALPHA_MESI_Two_Level/tests/opt/quick/se/60.rubytest/alpha/linux/rubytest-ruby-MESI_Two_Level:
 FAILED!* 
build/ALPHA_MESI_Two_Level/tests/opt/quick/se/00.hello/alpha/linux/simple-timing-ruby-MESI_Two_Level:
 FAILED!
* 
build/ALPHA_MOESI_CMP_directory/tests/opt/quick/se/00.hello/alpha/linux/simple-timing-ruby-MOESI_CMP_directory:
 FAILED!
* 
build/ALPHA_MOESI_CMP_directory/tests/opt/quick/se/00.hello/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory:
 FAILED!
* 
build/ALPHA_MOESI_CMP_directory/tests/opt/quick/se/50.memtest/alpha/linux/memtest-ruby-MOESI_CMP_directory:
 FAILED!
* 
build/ALPHA_MOESI_CMP_directory/tests/opt/quick/se/60.rubytest/alpha/linux/rubytest-ruby-MOESI_CMP_directory:
 FAILED!
* 
build/ALPHA_MOESI_CMP_token/tests/opt/quick/se/50.memtest/alpha/linux/memtest-ruby-MOESI_CMP_token:
 FAILED!
* 
build/ALPHA_MOESI_CMP_token/tests/opt/quick/se/60.rubytest/alpha/linux/rubytest-ruby-MOESI_CMP_token:
 FAILED!
* 
build/ALPHA_MOESI_CMP_token/tests/opt/quick/se/00.hello/alpha/linux/simple-timing-ruby-MOESI_CMP_token:
 FAILED!
* 
build/ALPHA_MOESI_CMP_token/tests/opt/quick/se/00.hello/alpha/tru64/simple-timing-ruby-MOESI_CMP_token:
 FAILED!
* build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-timing-ruby: 
FAILED!
* build/SPARC/tests/opt/quick/se/00.hello/sparc/linux/simple-timing-ruby: 
FAILED!
* build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing-ruby: 
FAILED!
* 
build/HSAIL_X86/tests/opt/quick/se/60.gpu-randomtest/x86/linux/gpu-randomtest-ruby-GPU_RfO:
 FAILED!*** diff[simout]: SKIPPED
* build/HSAIL_X86/tests/opt/quick/se/04.gpu/x86/linux/gpu-ruby-GPU_RfO: 
FAILED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing: 
passed.* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/o3-timing: 
passed.
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-atomic: passed.
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing: passed.
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/tru64/minor-timing: passed.
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/tru64/o3-timing: passed.
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/tru64/simple-timing: passed.
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/tru64/simple-atomic: passed.
* build/ALPHA/tests/opt/quick/se/01.hello-2T-smt/alpha/linux/o3-timing-mt: 
passed.
* 
build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-simple:
 passed.
 * 
build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-two-level:
 passed.
* build/ALPHA/tests/opt/quick/se/30.eon/alpha/tru64/simple-atomic: passed.
* build/ALPHA/tests/opt/quick/se/50.vortex/alpha/tru64/simple-atomic: 
passed.
* build/ALPHA/tests/opt/quick/se/50.vortex/alpha/tru64/simple-timing: 
passed.
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-atomic: 
passed.
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-timing: 
passed.
* build/ALPHA/tests/opt/quick/se/70.twolf/alpha/tru64/simple-atomic: passed.
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-atomic-dual:
 passed.
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-timing-dual:
 passed.
* build/ALPHA/tests/opt/quick/se/70.twolf/alpha/tru64/simple-timing: passed.
* 
build/ALPHA/tests/opt/quick/fs/80.netperf-stream/alpha/linux/twosys-tsunami-simple-atomic:
 passed.
* 
build/MIPS/tests/opt/quick/se/03.learning-gem5/mips/linux/learning-gem5-p1-simple:
 passed.
 --- quick/se/00.hello/mips/linux/simple-timing-ruby ---* 
build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-timing: passed.
* build/MIPS/tests/opt/quick/se/00.hello/mips/linux/o3-timing: passed.
 * 
build/MIPS/tests/opt/quick/se/03.learning-gem5/mips/linux/learning-gem5-p1-two-level:
 passed.
*** diff[simerr]: SKIPPED* 
bui

Re: [gem5-dev] Review Request 3596: mem: make DDR4 x16

2016-10-11 Thread Matthias Jung

---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3596/#review8814
---

Ship it!


Ship It!

- Matthias Jung


On Aug. 11, 2016, 9:07 vorm., Curtis Dunham wrote:
> 
> ---
> This is an automatically generated e-mail. To reply, visit:
> http://reviews.gem5.org/r/3596/
> ---
> 
> (Updated Aug. 11, 2016, 9:07 vorm.)
> 
> 
> Review request for Default and Matthias Jung.
> 
> 
> Repository: gem5
> 
> 
> Description
> ---
> 
> mem: make DDR4 x16
> 
> 
> Diffs
> -
> 
>   src/mem/DRAMCtrl.py e9096175eb38ac39f37c91bfdf2a450b9664e222 
> 
> Diff: http://reviews.gem5.org/r/3596/diff/
> 
> 
> Testing
> ---
> 
> 
> Thanks,
> 
> Curtis Dunham
> 
>

___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev


Re: [gem5-dev] Review Request 3596: mem: make DDR4 x16

2016-10-11 Thread Matthias Jung


> On Aug. 12, 2016, 2:35 nachm., Matthias Jung wrote:
> > Technically everything seams right. However, I think the x8 configuration 
> > could also be interesting as an default option. E.g. when people want to 
> > see the effect on their application when having more **banks** (16). I 
> > suggest to keep both configuration: one as _device_x16_ and another one 
> > _device_x8_.
> 
> Wendy Elsasser wrote:
> Hi Matthias,
> I agree that the x8 (and x4) configurations are more interesting.  Now 
> that we have public datasheets for all device widths, we have an internal 
> patch that we will upload shortly that contains updated configurations to 
> address your concern.
> Will this suffice?
> 
> Thanks,
> Wendy

I think its okay when the patch comes soon.


- Matthias


---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3596/#review8606
---


On Aug. 11, 2016, 9:07 vorm., Curtis Dunham wrote:
> 
> ---
> This is an automatically generated e-mail. To reply, visit:
> http://reviews.gem5.org/r/3596/
> ---
> 
> (Updated Aug. 11, 2016, 9:07 vorm.)
> 
> 
> Review request for Default and Matthias Jung.
> 
> 
> Repository: gem5
> 
> 
> Description
> ---
> 
> mem: make DDR4 x16
> 
> 
> Diffs
> -
> 
>   src/mem/DRAMCtrl.py e9096175eb38ac39f37c91bfdf2a450b9664e222 
> 
> Diff: http://reviews.gem5.org/r/3596/diff/
> 
> 
> Testing
> ---
> 
> 
> Thanks,
> 
> Curtis Dunham
> 
>

___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev


[gem5-dev] Review Request 3659: stats: Add more information to uninitialized error

2016-10-11 Thread Jason Lowe-Power

---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3659/
---

Review request for Default.


Repository: gem5


Description
---

stats: Add more information to uninitialized error

ClockedObject was changed to require its regStats() to be called from every
child class. If you forget to do this, the error was indecipherable. This
patch makes the error more clear.


Diffs
-

  src/base/statistics.cc 220fa4099b9a 

Diff: http://reviews.gem5.org/r/3659/diff/


Testing
---


Thanks,

Jason Lowe-Power

___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev


[gem5-dev] Review Request 3660: style: use http://reviews.gem5.org/r/3648/ to reorganize includes

2016-10-11 Thread Brandon Potter

---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3660/
---

Review request for Default.


Summary (updated)
-

style: use http://reviews.gem5.org/r/3648/ to reorganize includes


Repository: gem5


Description (updated)
---

Changeset 11673:faf3b559ebc7
---
style: use http://reviews.gem5.org/r/3648/ to reorganize includes


Diffs (updated)
-

  src/dev/uart.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/uart8250.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/virtio/base.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/virtio/block.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/virtio/console.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/virtio/fs9p.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/virtio/pci.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/x86/cmos.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/x86/i8042.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/x86/i82094aa.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/x86/i8237.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/x86/i8254.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/x86/i8259.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/x86/pc.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/x86/south_bridge.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/x86/speaker.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/kernel_stats.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/linux/linux.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/linux/printk.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/operatingsystem.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/system_events.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/tru64/dump_mbuf.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/tru64/printf.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/tru64/tru64_events.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/abstract_mem.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/bridge.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/cache/prefetch/base.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/cache/prefetch/queued.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/cache/prefetch/stride.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/coherent_xbar.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/comm_monitor.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/dram_ctrl.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/drampower.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/dramsim2.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/dramsim2_wrapper.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/external_master.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/external_slave.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/fs_translating_port_proxy.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/hmc_controller.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/mem_checker.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/mem_checker_monitor.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/noncoherent_xbar.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/packet.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/packet_queue.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/page_table.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/physical.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/port.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/port_proxy.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/probes/base.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/common/Histogram.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/common/NetDest.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/common/SubBlock.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/filters/BlockBloomFilter.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/filters/BulkBloomFilter.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/filters/H3BloomFilter.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/filters/LSB_CountingBloomFilter.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/filters/MultiBitSelBloomFilter.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/filters/MultiGrainBloomFilter.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/filters/NonCountingBloomFilter.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/network/MessageBuffer.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/network/Network.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/network/Topology.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/network/sim

Re: [gem5-dev] Review Request 3660: style: use http://reviews.gem5.org/r/3648/ to reorganize includes

2016-10-11 Thread Tony Gutierrez

---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3660/#review8816
---

Ship it!


Ship It!

- Tony Gutierrez


On Oct. 11, 2016, 9:05 a.m., Brandon Potter wrote:
> 
> ---
> This is an automatically generated e-mail. To reply, visit:
> http://reviews.gem5.org/r/3660/
> ---
> 
> (Updated Oct. 11, 2016, 9:05 a.m.)
> 
> 
> Review request for Default.
> 
> 
> Repository: gem5
> 
> 
> Description
> ---
> 
> Changeset 11673:faf3b559ebc7
> ---
> style: use http://reviews.gem5.org/r/3648/ to reorganize includes
> 
> 
> Diffs
> -
> 
>   src/dev/uart.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/uart8250.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/virtio/base.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/virtio/block.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/virtio/console.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/virtio/fs9p.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/virtio/pci.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/x86/cmos.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/x86/i8042.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/x86/i82094aa.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/x86/i8237.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/x86/i8254.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/x86/i8259.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/x86/pc.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/x86/south_bridge.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/dev/x86/speaker.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/kern/kernel_stats.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/kern/linux/linux.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/kern/linux/printk.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/kern/operatingsystem.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/kern/system_events.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/kern/tru64/dump_mbuf.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/kern/tru64/printf.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/kern/tru64/tru64_events.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/abstract_mem.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/bridge.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/cache/prefetch/base.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/cache/prefetch/queued.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/cache/prefetch/stride.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/coherent_xbar.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/comm_monitor.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/dram_ctrl.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/drampower.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/dramsim2.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/dramsim2_wrapper.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/external_master.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/external_slave.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/fs_translating_port_proxy.cc 
> 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/hmc_controller.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/mem_checker.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/mem_checker_monitor.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/noncoherent_xbar.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/packet.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/packet_queue.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/page_table.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/physical.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/port.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/port_proxy.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/probes/base.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/ruby/common/Histogram.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/ruby/common/NetDest.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/ruby/common/SubBlock.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/ruby/filters/BlockBloomFilter.cc 
> 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/ruby/filters/BulkBloomFilter.cc 
> 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/ruby/filters/H3BloomFilter.cc 
> 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/ruby/filters/LSB_CountingBloomFilter.cc 
> 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/ruby/filters/MultiBitSelBloomFilter.cc 
> 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/mem/ruby/filters/MultiGrainBlo

Re: [gem5-dev] Review Request 3624: arch: [Patch 1/5] Added RISC-V base instruction set RV64I

2016-10-11 Thread Alec Roelke

---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3624/
---

(Updated Oct. 11, 2016, 5:17 p.m.)


Review request for Default.


Repository: gem5


Description (updated)
---

Changeset 11655:01b1d852e62e
---
arch: [Patch 1/5] Added RISC-V base instruction set RV64I

First of five patches adding RISC-V to GEM5. This patch introduces the
base 64-bit ISA (RV64I) in src/arch/riscv for use with syscall emulation.
The multiply, floating point, and atomic memory instructions will be added
in additional patches, as well as support for more detailed CPU models.
The loader is also modified to be able to parse RISC-V ELF files, and a
"Hello world!" example for RISC-V is added to test-progs.

Patch 2 will implement the multiply extension, RV64M; patch 3 will implement
the floating point (single- and double-precision) extensions, RV64FD;
patch 4 will implement the atomic memory instructions, RV64A, and patch 5
will add support for timing, minor, and detailed CPU models that is missing
from the first four patches (such as handling locked memory).

[Removed several unused parameters and imports from RiscvInterrupts.py,
RiscvISA.py, and RiscvSystem.py.]
[Fixed copyright information in RISC-V files copied from elsewhere that had
ARM licenses attached.]
[Reorganized instruction definitions in decoder.isa so that they are sorted
by opcode in preparation for the addition of ISA extensions M, A, F, D.]
[Fixed formatting of several files, removed some variables and
instructions that were missed when moving them to other patches, fixed
RISC-V Foundation copyright attribution, and fixed history of files
copied from other architectures using hg copy.]
[Fixed indentation of switch cases in isa.cc.]
[Reorganized syscall descriptions in linux/process.cc to remove large
number of repeated unimplemented system calls and added implmementations
to functions that have received them since it process.cc was first
created.]
Signed-off by: Alec Roelke


Diffs (updated)
-

  build_opts/RISCV PRE-CREATION 
  ext/libelf/elf_common.h 49cbf4bb0d36 
  src/arch/riscv/RiscvISA.py PRE-CREATION 
  src/arch/riscv/RiscvInterrupts.py PRE-CREATION 
  src/arch/riscv/RiscvSystem.py PRE-CREATION 
  src/arch/riscv/RiscvTLB.py PRE-CREATION 
  src/arch/riscv/SConscript PRE-CREATION 
  src/arch/riscv/SConsopts PRE-CREATION 
  src/arch/riscv/decoder.hh PRE-CREATION 
  src/arch/riscv/decoder.cc PRE-CREATION 
  src/arch/riscv/faults.hh PRE-CREATION 
  src/arch/riscv/faults.cc PRE-CREATION 
  src/arch/riscv/idle_event.hh PRE-CREATION 
  src/arch/riscv/idle_event.cc PRE-CREATION 
  src/arch/riscv/interrupts.hh PRE-CREATION 
  src/arch/riscv/interrupts.cc PRE-CREATION 
  src/arch/riscv/isa.hh PRE-CREATION 
  src/arch/riscv/isa.cc PRE-CREATION 
  src/arch/riscv/isa/base.isa PRE-CREATION 
  src/arch/riscv/isa/bitfields.isa PRE-CREATION 
  src/arch/riscv/isa/decoder.isa PRE-CREATION 
  src/arch/riscv/isa/formats/basic.isa PRE-CREATION 
  src/arch/riscv/isa/formats/formats.isa PRE-CREATION 
  src/arch/riscv/isa/formats/mem.isa PRE-CREATION 
  src/arch/riscv/isa/formats/type.isa PRE-CREATION 
  src/arch/riscv/isa/formats/unknown.isa PRE-CREATION 
  src/arch/riscv/isa/includes.isa PRE-CREATION 
  src/arch/riscv/isa/main.isa PRE-CREATION 
  src/arch/riscv/isa/operands.isa PRE-CREATION 
  src/arch/riscv/isa_traits.hh PRE-CREATION 
  src/arch/riscv/kernel_stats.hh PRE-CREATION 
  src/arch/riscv/linux/linux.hh PRE-CREATION 
  src/arch/riscv/linux/linux.cc PRE-CREATION 
  src/arch/riscv/linux/process.hh PRE-CREATION 
  src/arch/riscv/linux/process.cc PRE-CREATION 
  src/arch/riscv/locked_mem.hh PRE-CREATION 
  src/arch/riscv/microcode_rom.hh PRE-CREATION 
  src/arch/riscv/mmapped_ipr.hh PRE-CREATION 
  src/arch/riscv/pagetable.hh PRE-CREATION 
  src/arch/riscv/pagetable.cc PRE-CREATION 
  src/arch/riscv/pra_constants.hh PRE-CREATION 
  src/arch/riscv/process.hh PRE-CREATION 
  src/arch/riscv/process.cc PRE-CREATION 
  src/arch/riscv/pseudo_inst.hh PRE-CREATION 
  src/arch/riscv/registers.hh PRE-CREATION 
  src/arch/riscv/remote_gdb.hh PRE-CREATION 
  src/arch/riscv/remote_gdb.cc PRE-CREATION 
  src/arch/riscv/stacktrace.hh PRE-CREATION 
  src/arch/riscv/stacktrace.cc PRE-CREATION 
  src/arch/riscv/system.hh PRE-CREATION 
  src/arch/riscv/system.cc PRE-CREATION 
  src/arch/riscv/tlb.hh PRE-CREATION 
  src/arch/riscv/tlb.cc PRE-CREATION 
  src/arch/riscv/types.hh PRE-CREATION 
  src/arch/riscv/utility.hh PRE-CREATION 
  src/arch/riscv/vtophys.hh PRE-CREATION 
  src/base/loader/elf_object.cc 49cbf4bb0d36 
  src/base/loader/object_file.hh 49cbf4bb0d36 
  src/cpu/BaseCPU.py 49cbf4bb0d36 
  src/sim/process.cc 49cbf4bb0d36 
  tests/test-progs/hello/bin/riscv/linux/hello 49cbf4bb0d36 

Diff: http://reviews.gem5.org/r/3624/diff/


Testing
---


Thanks,

Alec Roelke

__

[gem5-dev] Review Request 3662: syscall_emul: move the SyscallDesc into its own class

2016-10-11 Thread Brandon Potter

---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3662/
---

Review request for Default.


Repository: gem5


Description
---

Changeset 11674:aaa1798d74db
---
syscall_emul: move the SyscallDesc into its own class


Diffs
-

  src/arch/alpha/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/arm/freebsd/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/arm/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/mips/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/power/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/sparc/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/sparc/linux/syscalls.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/sparc/solaris/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/tru64/tru64.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/SConscript 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/process.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscall_debug_macros.hh PRE-CREATION 
  src/sim/syscall_desc.hh PRE-CREATION 
  src/sim/syscall_desc.cc PRE-CREATION 
  src/sim/syscall_emul.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscall_emul.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscallreturn.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 

Diff: http://reviews.gem5.org/r/3662/diff/


Testing
---


Thanks,

Brandon Potter

___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev


[gem5-dev] Review Request 3661: style: reduce include dependencies in some headers

2016-10-11 Thread Brandon Potter

---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3661/
---

Review request for Default.


Repository: gem5


Description
---

Changeset 11675:86949adf2599
---
style: reduce include dependencies in some headers


Diffs
-

  src/arch/x86/tlb.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/utility.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/sparc/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/isa_traits.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/pagetable.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/pseudo_inst.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/system.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/system.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/tlb.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/sparc/process.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/power/remote_gdb.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/power/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/power/process.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/power/interrupts.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/mips/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/mips/process.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/arm/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/arm/process.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/alpha/tru64/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/alpha/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/alpha/process.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/system.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/ticked_object.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/ticked_object.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/voltage_domain.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/vptr.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/probe/probe.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/probe/probe.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/process.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/process_impl.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/serialize.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/sim_exit.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/sim_object.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/sim_object.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/simulate.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/stat_register.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/stat_register.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/sub_system.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscall_emul.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscall_emul.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscall_return.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/byteswap.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/clock_domain.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/clocked_object.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/cxx_config.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/cxx_config_ini.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/cxx_config_ini.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/cxx_manager.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/drain.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/dvfs_handler.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/dvfs_handler.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/eventq.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/fd_entry.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/init_signals.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/insttracer.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/microcode_rom.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/microcode_rom.cc PRE-CREATION 
  src/sim/power/mathexpr_powermodel.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/power_model.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/power_model.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/thermal_domain.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/thermal_domain.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/thermal_entity.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/thermal_model.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/arguments.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/arguments.cc 220fa4099b9a

Re: [gem5-dev] Review Request 3643: style: reduce include dependencies in some headers

2016-10-11 Thread Brandon Potter

---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3643/
---

(Updated Oct. 11, 2016, 8:37 p.m.)


Review request for Default.


Repository: gem5


Description (updated)
---

Changeset 11675:86949adf2599
---
style: reduce include dependencies in some headers


Diffs (updated)
-

  src/sim/system.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscall_emul.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/sub_system.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/stat_register.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/simulate.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/sim_object.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/serialize.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/probe/probe.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/probe/probe.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/thermal_entity.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/thermal_domain.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/power_model.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/mathexpr_powermodel.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/microcode_rom.cc PRE-CREATION 
  src/sim/microcode_rom.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/insttracer.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/init_signals.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/eventq.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/fd_entry.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/dvfs_handler.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/dvfs_handler.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/drain.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/cxx_manager.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/cxx_config_ini.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/cxx_config_ini.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/cxx_config.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/clocked_object.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/byteswap.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/clock_domain.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/arguments.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/arguments.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/SConscript 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/python/swig/pyevent.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/simple_mem.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/se_translating_port_proxy.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/structures/AbstractReplacementPolicy.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/ruby/network/MessageBuffer.hh 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/page_table.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/page_table.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/multi_level_page_table_impl.hh 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/multi_level_page_table.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/mem_checker.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/external_slave.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/external_master.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/mem/cache/prefetch/stride.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/linux/linux.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/linux/linux.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/net/etherswitch.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/net/etherbus.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/net/dist_iface.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/mc146818.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/dev/arm/flash_device.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/cpu/testers/rubytest/CheckTable.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/cpu/testers/rubytest/Check.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/cpu/testers/directedtest/SeriesRequestGenerator.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/cpu/testers/directedtest/RubyDirectedTester.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/cpu/testers/directedtest/InvalidateGenerator.cc 
220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/cpu/minor/buffers.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/power/power_model.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscall_return.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscall_emul.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/stat_register.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/sim_object.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/si

Re: [gem5-dev] Review Request 3662: syscall_emul: move SyscallDesc into its own .hh and .cc files

2016-10-11 Thread Brandon Potter

---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3662/
---

(Updated Oct. 11, 2016, 9:06 p.m.)


Review request for Default.


Summary (updated)
-

syscall_emul: move SyscallDesc into its own .hh and .cc files


Repository: gem5


Description (updated)
---

Changeset 11674:30214967f119
---
syscall_emul: move SyscallDesc into its own .hh and .cc files

The class was crammed into syscall_emul.hh which has tons of forward
declarations and template definitions. To clean it up a bit, moved the
class into separate files and commented the class with doxygen style
comments. Also, provided some encapsulation by adding some accessors and
a mutator.

The syscallreturn.hh file was renamed syscall_return.hh to make it consistent
with other similarly named files in the src/sim directory.

The DPRINTF_SYSCALL macro was moved into its own header file with the
include the Base and Verbose flags as well.


Diffs (updated)
-

  src/sim/syscall_desc.cc PRE-CREATION 
  src/sim/syscall_emul.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscall_emul.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscallreturn.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/alpha/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/arm/freebsd/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/arm/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/mips/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/power/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/sparc/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/sparc/linux/syscalls.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/sparc/solaris/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/arch/x86/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/kern/tru64/tru64.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/SConscript 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/process.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
  src/sim/syscall_debug_macros.hh PRE-CREATION 
  src/sim/syscall_desc.hh PRE-CREATION 

Diff: http://reviews.gem5.org/r/3662/diff/


Testing
---


Thanks,

Brandon Potter

___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev


Re: [gem5-dev] Review Request 3662: syscall_emul: move SyscallDesc into its own .hh and .cc files

2016-10-11 Thread Tony Gutierrez

---
This is an automatically generated e-mail. To reply, visit:
http://reviews.gem5.org/r/3662/#review8819
---

Ship it!


A few minor issues, mostly related to pre-existing code. If you feel like 
addressing them while you're touching this code that would be great. Otherwise 
it looks good to me.


src/sim/syscall_debug_macros.hh (line 5)


This is not the correct license. See the code in gpu-compute for the 
license we should be using.



src/sim/syscall_desc.hh (line 66)


(void) is not necessary in c++. also this is not gem5 convention.



src/sim/syscall_desc.hh (line 91)


Can we use std::string here? Might as well change it now if you're touching 
this code anyhow.



src/sim/syscall_desc.hh (line 94)


Not a major issue, and I know you didn't add this either, but I think it'd 
be better to have a more explicit name for this: SysCallExecutor or something 
like that. Really anything more descriptive that FuncPtr would be ok.


- Tony Gutierrez


On Oct. 11, 2016, 2:06 p.m., Brandon Potter wrote:
> 
> ---
> This is an automatically generated e-mail. To reply, visit:
> http://reviews.gem5.org/r/3662/
> ---
> 
> (Updated Oct. 11, 2016, 2:06 p.m.)
> 
> 
> Review request for Default.
> 
> 
> Repository: gem5
> 
> 
> Description
> ---
> 
> Changeset 11674:30214967f119
> ---
> syscall_emul: move SyscallDesc into its own .hh and .cc files
> 
> The class was crammed into syscall_emul.hh which has tons of forward
> declarations and template definitions. To clean it up a bit, moved the
> class into separate files and commented the class with doxygen style
> comments. Also, provided some encapsulation by adding some accessors and
> a mutator.
> 
> The syscallreturn.hh file was renamed syscall_return.hh to make it consistent
> with other similarly named files in the src/sim directory.
> 
> The DPRINTF_SYSCALL macro was moved into its own header file with the
> include the Base and Verbose flags as well.
> 
> 
> Diffs
> -
> 
>   src/sim/syscall_desc.cc PRE-CREATION 
>   src/sim/syscall_emul.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/sim/syscall_emul.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/sim/syscallreturn.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/arch/alpha/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/arch/arm/freebsd/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/arch/arm/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/arch/mips/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/arch/power/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/arch/sparc/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/arch/sparc/linux/syscalls.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/arch/sparc/solaris/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/arch/x86/linux/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/arch/x86/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/kern/tru64/tru64.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/sim/SConscript 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/sim/process.hh 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/sim/process.cc 220fa4099b9a91526b8a1828f27cf1a9f3c15837 
>   src/sim/syscall_debug_macros.hh PRE-CREATION 
>   src/sim/syscall_desc.hh PRE-CREATION 
> 
> Diff: http://reviews.gem5.org/r/3662/diff/
> 
> 
> Testing
> ---
> 
> 
> Thanks,
> 
> Brandon Potter
> 
>

___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev