CVS: cvs.openbsd.org: src

2016-01-06 Thread Theo Buehler
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 02:24:38

Modified files:
games/phantasia: map.c setup.c 

Log message:
Use return instead of exit from main.

ok mestre@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Theo Buehler
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 02:29:34

Modified files:
games/phantasia: map.c 

Log message:
Ansify map.c.

ok mestre@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Mark Kettenis
CVSROOT:/cvs
Module name:src
Changes by: kette...@cvs.openbsd.org2016/01/06 02:14:09

Modified files:
sys/dev/acpi   : acpi.c 

Log message:
Follow the spec and check the SCI_EN bit to see if ACPI has already
been enabled and don't bother enabling it again if it is.  This should fix
systems that don't implement legacy mode and therefore set ACPI_ENABLE and
ACPI_DISABLE to zero.

ok mlarkin@, yasuoka@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Reyk Floeter
CVSROOT:/cvs
Module name:src
Changes by: r...@cvs.openbsd.org2016/01/06 02:59:30

Modified files:
etc/examples   : vm.conf 

Log message:
This is just an example and we don't have to bump the set's version
number all the time, but let's use install59.fs as it will be the
first release that includes vmm.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Stuart Henderson
CVSROOT:/cvs
Module name:src
Changes by: st...@cvs.openbsd.org   2016/01/06 03:02:42

Modified files:
sys/netinet6   : ip6_input.c 

Log message:
fix comment typos



CVS: cvs.openbsd.org: src

2016-01-06 Thread Stefan Kempf
CVSROOT:/cvs
Module name:src
Changes by: ste...@cvs.openbsd.org  2016/01/06 03:06:50

Modified files:
sys/kern   : uipc_socket.c 

Log message:
Prevent integer overflows in sosend() and soreceive() by converting
min()+uiomovei() to ulmin()+uiomove() and re-arranging space computations
in sosend(). The soreceive() part was also reported by Martin Natano.

ok bluhm@ and also discussed with tedu@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Theo Buehler
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 02:39:51

Modified files:
games/phantasia: fight.c gamesupport.c interplayer.c io.c main.c 
 misc.c 

Log message:
Ansify the bulk of phantasia.  No binary change on amd64.  Based
on an older diff by mestre.

ok mestre@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Theo Buehler
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 02:43:26

Modified files:
games/phantasia: misc.c 

Log message:
Last step of ansification of phantasia:
Convert function() to function(void).

ok mestre@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Stefan Sperling
CVSROOT:/cvs
Module name:src
Changes by: s...@cvs.openbsd.org2016/01/06 02:15:31

Modified files:
sys/dev/pci: if_iwm.c 

Log message:
iwm(4) adjusts the firmware's tx rate retry table dynamically so we must
tell the firmware to always try the first rate in the table first.
ok kettenis@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Stefan Sperling
CVSROOT:/cvs
Module name:src
Changes by: s...@cvs.openbsd.org2016/01/06 02:17:42

Modified files:
sys/dev/pci: if_iwn.c 

Log message:
Ensure that iwn(4) always uses a CCK rate as last fallback in the firmware's
tx rate retry table. This will hopefully make 11n mode work in very noisy
environments. iwm(4) already does the same.
ok kettenis@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Mark Kettenis
CVSROOT:/cvs
Module name:src
Changes by: kette...@cvs.openbsd.org2016/01/06 02:09:16

Modified files:
sys/dev/pci/drm: drm_drv.c 
sys/sys: conf.h pledge.h 
sys/kern   : kern_pledge.c 

Log message:
Add pledge "drm", which allows a subset of the drm(4) ioctls.  These are
basically only the ioctls that Linux allows on the so-called "render nodes".
For now, it also allows DRM_IOCTL_GET_MAGIC and DRM_IOCTL_GEM_OPEN, as we
don't implement prime/dma-buf yet in OpenBSD.  That still leaves a big gaping
hole, so they will be removed as soon as we can.

Based on a diff by robert@, who did all the heavy lifting by studying the
behaviour of the chromium GPU process, with some further suggestions by
deraadt@.

ok jsg@, deraadt@, robert@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Theo Buehler
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 03:28:38

Modified files:
games/phantasia: setup.c 

Log message:
ansify

ok mestre@



CVS: cvs.openbsd.org: www

2016-01-06 Thread Stuart Henderson
CVSROOT:/cvs
Module name:www
Changes by: st...@cvs.openbsd.org   2016/01/06 06:25:32

Modified files:
build  : mirrors.dat 

Log message:
remove some dead/hanging mirrors and adjust an ftp url



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ricardo Mestre
CVSROOT:/cvs
Module name:src
Changes by: mes...@cvs.openbsd.org  2016/01/06 07:32:15

Removed files:
games/phantasia: include.h 

Log message:
Forgot to remove this file on my previous commit



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ricardo Mestre
CVSROOT:/cvs
Module name:src
Changes by: mes...@cvs.openbsd.org  2016/01/06 07:28:09

Modified files:
games/phantasia: fight.c gamesupport.c include.h interplayer.c 
 io.c main.c misc.c phantglobs.c phantglobs.h 
 phantstruct.h setup.c 

Log message:
Remove unnecessary header files from phantasia(6)

Lesson learnt: don't include an header sorted alphabetically just because. The
external definition of variables was done on phantglobs.h but their declaration
was on phantstruct.h and therefore the latter must be included before the
former. It's easier to just include phantstruct.h inside phantglobs.h since it
always need it.

With help and OK from tb@



CVS: cvs.openbsd.org: www

2016-01-06 Thread Stuart Henderson
CVSROOT:/cvs
Module name:www
Changes by: st...@cvs.openbsd.org   2016/01/06 06:27:46

Modified files:
.  : ftp.html ftplist 
openbgpd   : ftp.html 
openntpd   : portable.html 
openssh: ftp.html portable.html 

Log message:
sync



CVS: cvs.openbsd.org: src

2016-01-06 Thread Kenneth R Westerback
CVSROOT:/cvs
Module name:src
Changes by: k...@cvs.openbsd.org2016/01/06 09:12:20

Modified files:
sys/arch/amd64/stand/libsa: softraid.c 

Log message:
We want the first, not the last, OpenBSD partition in the MBR.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ted Unangst
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 10:52:18

Modified files:
usr.bin/kdump  : ktrstruct.c 

Log message:
print more info about kevent



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ted Unangst
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 10:54:50

Modified files:
share/man/man5 : malloc.conf.5 

Log message:
malloc option 'a' to go away



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ted Unangst
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 10:53:14

Modified files:
bin/expr   : expr.c 

Log message:
use int64_t for arithmetic. 64 bits ought to be enough for anyone.
ok deraadt millert schwarze



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ted Unangst
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 10:58:46

Modified files:
sys/kern   : kern_event.c 

Log message:
tidy up whitespace, etc.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ted Unangst
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 10:59:30

Modified files:
sys/kern   : kern_descrip.c kern_pledge.c sys_pipe.c 
 vfs_syscalls.c vfs_vnops.c 

Log message:
remove unnecessary casts where the incoming type is void *.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ted Unangst
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 10:57:22

Modified files:
lib/libc/stdlib: malloc.3 malloc.c 

Log message:
Long ago, malloc internally had two kinds of failures, warnings and errors.
The 'A' option elevated warnings to errors, and has been the default for some
time. Then warnings were effectively eliminated in favor of everything
being an error, but then the 'a' flag turned real errors into warnings!
Remove the 'a' option entirely. You shouldn't have used it anyway.
ok tb tdeval



CVS: cvs.openbsd.org: src

2016-01-06 Thread Theo de Raadt
CVSROOT:/cvs
Module name:src
Changes by: dera...@cvs.openbsd.org 2016/01/06 10:07:38

Modified files:
distrib/sets/lists/base: mi 

Log message:
sync



CVS: cvs.openbsd.org: src

2016-01-06 Thread Theo de Raadt
CVSROOT:/cvs
Module name:src
Changes by: dera...@cvs.openbsd.org 2016/01/06 10:07:25

Removed files:
etc/signify: openbsd-55-base.pub openbsd-55-fw.pub 
 openbsd-55-pkg.pub openbsd-56-base.pub 
 openbsd-56-fw.pub openbsd-56-pkg.pub 
 openbsd-57-base.pub openbsd-57-fw.pub 
 openbsd-57-pkg.pub 

Log message:
some old signify keys no longer have relevance to new releases.
prompted by comments from naddy



CVS: cvs.openbsd.org: src

2016-01-06 Thread Sebastian Benoit
CVSROOT:/cvs
Module name:src
Changes by: be...@cvs.openbsd.org   2016/01/06 01:07:30

Modified files:
sys/conf   : GENERIC 

Log message:
deactivate POOL_DEBUG for release
ok deraadt, jsg



CVS: cvs.openbsd.org: src

2016-01-06 Thread Theo de Raadt
CVSROOT:/cvs
Module name:src
Changes by: dera...@cvs.openbsd.org 2016/01/06 16:29:00

Modified files:
distrib/sets/lists/base: mi 

Log message:
sync



CVS: cvs.openbsd.org: src

2016-01-06 Thread Theo de Raadt
CVSROOT:/cvs
Module name:src
Changes by: dera...@cvs.openbsd.org 2016/01/06 16:28:47

Modified files:
etc/mtree  : 4.4BSD.dist 

Log message:
delete vi catalog space; ok millert



CVS: cvs.openbsd.org: src

2016-01-06 Thread Kenneth R Westerback
CVSROOT:/cvs
Module name:src
Changes by: k...@cvs.openbsd.org2016/01/06 17:56:46

Modified files:
sys/arch/i386/stand/libsa: softraid.c 

Log message:
We want the first, not the last, OpenBSD partition in the MBR.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Todd C . Miller
CVSROOT:/cvs
Module name:src
Changes by: mill...@cvs.openbsd.org 2016/01/06 15:27:40

Modified files:
usr.bin/vi/common: gs.h main.c msg.c options.c options_f.c 
usr.bin/vi/include: com_extern.h options_def.h 

Log message:
Remove the message catalog DB.  This removes the msg_open() and
msg_close() functions along with the msgcat command.
>From Martijn van Duren



CVS: cvs.openbsd.org: src

2016-01-06 Thread Todd C . Miller
CVSROOT:/cvs
Module name:src
Changes by: mill...@cvs.openbsd.org 2016/01/06 15:46:59

Modified files:
usr.bin/vi : README 

Log message:
Remove mention of message catalog dir.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Todd C . Miller
CVSROOT:/cvs
Module name:src
Changes by: mill...@cvs.openbsd.org 2016/01/06 15:45:59

Removed files:
usr.bin/vi/build: README 

Log message:
We don't use configure so this file is full of lies and we are
better off without it.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Todd C . Miller
CVSROOT:/cvs
Module name:src
Changes by: mill...@cvs.openbsd.org 2016/01/06 15:41:53

Modified files:
usr.bin/vi/docs: help 
usr.bin/vi/docs/USD.doc/vi.man: vi.1 
usr.bin/vi/docs/USD.doc/vi.ref: set.opt.roff spell.ok vi.ref 

Log message:
Remove msgcat from the documentation.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Todd C . Miller
CVSROOT:/cvs
Module name:src
Changes by: mill...@cvs.openbsd.org 2016/01/06 15:35:29

Modified files:
usr.bin/vi/include: com_extern.h 

Log message:
Remove prototype for now-deleted f_msgcat().



CVS: cvs.openbsd.org: src

2016-01-06 Thread Todd C . Miller
CVSROOT:/cvs
Module name:src
Changes by: mill...@cvs.openbsd.org 2016/01/06 15:34:45

Modified files:
usr.bin/vi : LAYOUT 
usr.bin/vi/build: Makefile pathnames.h 
Removed files:
usr.bin/vi/catalog: Makefile README dump.c dutch dutch.base 
dutch.check dutch.owner english english.base 
english.check english.owner french 
french.base french.check german german.base 
german.check german.owner polish polish.base 
polish.check polish.owner ru_RU.KOI8-R 
ru_RU.KOI8-R.base ru_RU.KOI8-R.check 
ru_RU.KOI8-R.owner spanish spanish.base 
spanish.check spell.ok swedish swedish.base 
swedish.check swedish.owner uk_UA.KOI8-U 
uk_UA.KOI8-U.base uk_UA.KOI8-U.owner 

Log message:
Remove the actual message catalogs.  From Martijn van Duren



CVS: cvs.openbsd.org: src

2016-01-06 Thread Sebastian Benoit
CVSROOT:/cvs
Module name:src
Changes by: be...@cvs.openbsd.org   2016/01/06 16:14:05

Modified files:
sys/conf   : newvers.sh 
usr.bin/signify: signify.1 

Log message:
document the signify command for the next release, so that users can
verify before the netx upgrade.
document that signify.1 needs an edit bump once in a while.
ok tedu@ florian@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Masao Uebayashi
CVSROOT:/cvs
Module name:src
Changes by: uebay...@cvs.openbsd.org2016/01/06 20:21:28

Modified files:
sys/dev: ipmi.c 

Log message:
Copy received data only if it exists.  Avoid panics in odd situations.



CVS: cvs.openbsd.org: src

2016-01-06 Thread David Gwynne
CVSROOT:/cvs
Module name:src
Changes by: d...@cvs.openbsd.org2016/01/06 23:20:38

Modified files:
sys/dev/pci: if_em.c 

Log message:
simplify the calculation of the dmamem size for the tx and rx rings.

we dont user config of the ring size, especially before attach time,
and the dmamem api takes care of rounding up to PAGE_SIZE if it needs
to.



CVS: cvs.openbsd.org: src

2016-01-06 Thread David Gwynne
CVSROOT:/cvs
Module name:src
Changes by: d...@cvs.openbsd.org2016/01/06 20:56:03

Modified files:
sys/dev/pci: if_em.c if_em.h 

Log message:
tweak em to make it mpsafe, both for interrupts and if_start.

this is mostly work by kettenis and claudio, with further work from
me to make the transmit side from the stack mpsafe.

there's a watchdog issue that will be worked on in tree after this
change.

tested by hrvoje popovski and gregor best
ok mpi@ claudio@ deraadt@ jmatthew@



CVS: cvs.openbsd.org: src

2016-01-06 Thread David Gwynne
CVSROOT:/cvs
Module name:src
Changes by: d...@cvs.openbsd.org2016/01/06 21:21:36

Modified files:
sys/dev/pci: if_em.c if_em.h if_em_soc.c 

Log message:
rename em_softc sc_dv to sc_dev. like ALL OUR OTHER DRIVERS.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Can Erkin Acar
CVSROOT:/cvs
Module name:src
Changes by: cana...@cvs.openbsd.org 2016/01/06 21:41:17

Modified files:
sys/arch/armv7/omap: if_cpsw.c 

Log message:
Handle receive queue full condition instead of hanging.This can be
triggered by flodding the interface. Also drop received packets
that span multiple buffers (which should not happen).
Tested on Beagle Bone Black by jsg@
ok bmercer@, djm@, jsg@



CVS: cvs.openbsd.org: src

2016-01-06 Thread David Gwynne
CVSROOT:/cvs
Module name:src
Changes by: d...@cvs.openbsd.org2016/01/06 21:30:45

Modified files:
sys/dev/pci: if_em.c if_em.h 

Log message:
rename the struct arpcom interface_data in em_softc to sc_ac.

makes it more consistent with the rest of the tree.



CVS: cvs.openbsd.org: src

2016-01-06 Thread David Gwynne
CVSROOT:/cvs
Module name:src
Changes by: d...@cvs.openbsd.org2016/01/06 22:34:11

Modified files:
sys/dev/pci: if_em.c if_em.h 

Log message:
unify the dma tag into sc_dmat in em_softc.



CVS: cvs.openbsd.org: src

2016-01-06 Thread David Gwynne
CVSROOT:/cvs
Module name:src
Changes by: d...@cvs.openbsd.org2016/01/06 21:37:53

Modified files:
sys/dev/pci: if_em.c if_em.h if_em_soc.c 

Log message:
sprinkle DEVNAME



CVS: cvs.openbsd.org: src

2016-01-06 Thread Philip Guenther
CVSROOT:/cvs
Module name:src
Changes by: guent...@cvs.openbsd.org2016/01/06 22:31:17

Modified files:
sys/net: bpf.c if_tun.c 

Log message:
Make open(O_NONBLOCK) of tun, tap, and bpf behave like open+ioctl(FIONBIO)

problem noted by yasuoka@
ok yasuoka@ millert@



CVS: cvs.openbsd.org: src

2016-01-06 Thread David Gwynne
CVSROOT:/cvs
Module name:src
Changes by: d...@cvs.openbsd.org2016/01/07 00:03:55

Modified files:
sys/dev/pci: if_em.c if_em.h 

Log message:
prefix the rx and tx ring softc members with sc_



CVS: cvs.openbsd.org: src

2016-01-06 Thread David Gwynne
CVSROOT:/cvs
Module name:src
Changes by: d...@cvs.openbsd.org2016/01/07 00:18:07

Modified files:
sys/dev/pci: if_em.c if_em.h 

Log message:
rename the rx and tx ring softc vars.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Alexandre Ratchov
CVSROOT:/cvs
Module name:src
Changes by: ratc...@cvs.openbsd.org 2016/01/07 00:41:01

Modified files:
usr.bin/sndiod : Makefile 
lib/libsndio   : mio_open.3 sio_open.3 sndio.7 
usr.bin/aucat  : aucat.1 
Added files:
usr.bin/sndiod : sndiod.8 
Removed files:
usr.bin/sndiod : sndiod.1 

Log message:
Move sndiod man page to section 8.

suggested by deraadt, fixes from jmc, ok millert



CVS: cvs.openbsd.org: src

2016-01-06 Thread David Gwynne
CVSROOT:/cvs
Module name:src
Changes by: d...@cvs.openbsd.org2016/01/06 23:49:04

Modified files:
sys/dev/pci: if_em.c 

Log message:
host the rx ring dmamap syncs out of em_get_buf into em_rxfill.

this lets us do the syncs once for a fill of the ring instead of
once for every packet put onto the ring. it mirrors how we try to
do things for tx.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Todd C . Miller
CVSROOT:/cvs
Module name:src
Changes by: mill...@cvs.openbsd.org 2016/01/06 15:28:52

Modified files:
usr.bin/vi/cl  : cl_screen.c cl_term.c 
usr.bin/vi/common: delete.c exf.c key.c line.c log.c mark.c 
   msg.c options.c options_f.c put.c recover.c 
   search.c 
usr.bin/vi/ex  : ex.c ex_abbrev.c ex_append.c ex_args.c 
 ex_argv.c ex_bang.c ex_cd.c ex_display.c 
 ex_filter.c ex_global.c ex_init.c ex_join.c 
 ex_map.c ex_mark.c ex_mkexrc.c ex_move.c 
 ex_open.c ex_preserve.c ex_read.c ex_screen.c 
 ex_script.c ex_shift.c ex_subst.c ex_tag.c 
 ex_util.c ex_visual.c ex_write.c 
usr.bin/vi/vi  : getc.c v_ch.c v_ex.c v_increment.c v_match.c 
 v_replace.c v_screen.c v_search.c v_txt.c 
 v_util.c v_xchar.c vi.c vs_refresh.c vs_smap.c 
 vs_split.c 

Log message:
Remove the numeric identifiers at the beginning of the messages
which used to be used as the message number to lookup in the
catalog.  From Martijn van Duren



CVS: cvs.openbsd.org: src

2016-01-06 Thread Todd C . Miller
CVSROOT:/cvs
Module name:src
Changes by: mill...@cvs.openbsd.org 2016/01/06 15:29:38

Modified files:
usr.bin/vi/common: exf.c msg.c 
usr.bin/vi/ex  : ex_append.c ex_bang.c ex_print.c ex_subst.c 
usr.bin/vi/include: com_extern.h 
usr.bin/vi/vi  : vs_msg.c vs_refresh.c 

Log message:
Remove the msg_cat() function and adjust its former callers.
>From Martijn van Duren



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ted Unangst
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 11:43:10

Modified files:
sys/kern   : kern_pledge.c 

Log message:
turn off the whitepath code for now; we're not sure it's ready for release
ok deraadt



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ted Unangst
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 11:47:03

Modified files:
lib/libc/sys   : pledge.2 

Log message:
add a bug that the path whitelist is not available at this time.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Mark Kettenis
CVSROOT:/cvs
Module name:src
Changes by: kette...@cvs.openbsd.org2016/01/06 12:56:08

Modified files:
sys/dev/pci/drm/radeon: radeon_kms.c 

Log message:
Add DRM_RENDER_ALLOW flag to the appropriate ioctls such that pledge "drm"
allows them.



CVS: cvs.openbsd.org: src

2016-01-06 Thread Stefan Sperling
CVSROOT:/cvs
Module name:src
Changes by: s...@cvs.openbsd.org2016/01/06 12:56:50

Modified files:
sys/dev/pci: if_iwm.c if_iwn.c 
sys/net80211   : ieee80211.h ieee80211_output.c ieee80211_var.h 

Log message:
Initialize the A-MPDU parameters field in HT capability elements.
ok kettenis@



CVS: cvs.openbsd.org: src

2016-01-06 Thread Ted Unangst
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2016/01/06 14:37:00

Modified files:
sbin/ifconfig  : ifconfig.c 

Log message:
correct format string. ok deraadt stsp