Re: [time-nuts] High rate, high precision/accuracy time interval counter methods

2016-05-09 Thread Bruce Griffiths
Since the GSPS sampling ADCs all appear to use an input buffer with relatively low value resistors between the differential inputs or connected to a midpoint bias voltage, some kind of high impedance buffer is needed between the TAC capacitor and the ADC input when using such ADCs. The highest c

Re: [time-nuts] High rate, high precision/accuracy time interval counter methods

2016-05-08 Thread Bruce Griffiths
Another option is to use a low pass filter to increase the transition times of the signal to be timestamped and use a pipelined ADC to sample the filter output.Perhaps something like the attached filter derived from: http://bears.ucsb.edu/rad/pubs/conference/MTT_S_2004.pdf May be effective in tha

Re: [time-nuts] High rate, high precision/accuracy time interval counter methods

2016-05-08 Thread Bruce Griffiths
Yes, just a synchroniser clocked with the same clock as the ADC.The interpolator measures the synchroniser delay by charging the capacitor in the interval between the occurrence of the transition to be time stamped and when the output of the synchroniser recognises this transition.The ADC sample

Re: [time-nuts] laser as clock source

2016-05-07 Thread Bruce Griffiths
No, laser photon count statistics are Poissonian. There are fluctuations in photon detection rate. The distribution of photon energies is narrow (for a single spatial and temporal mode laser). Bruce On Saturday, 7 May 2016 6:01 PM, Ilia Platone wrote: Wait... no telescopes, very close

Re: [time-nuts] laser as clock source

2016-05-05 Thread Bruce Griffiths
If it were frequency stabilised to  the required degree and the detector had attosecond response. Or in practice if one uses  stabilised laser frequency combs. Bruce On Thursday, 5 May 2016 10:14 PM, Ilia Platone wrote: Is it possible to use the photon flux of a laser as clock source

Re: [time-nuts] Fw: Optical transfer of time and frequency

2016-05-04 Thread Bruce Griffiths
baselines. Bruce On Thursday, May 05, 2016 11:14:37 AM Bruce Griffiths wrote: > In the same vein if it takes 1000 seconds to measure the relative phase of a > pair of clocks to within 500ps then the relative ADEV of the clock pair at > 1000 sec needs to be somewhat less than 5E-13. &g

Re: [time-nuts] High rate, high precision/accuracy time interval counter methods

2016-05-04 Thread Bruce Griffiths
On Wednesday, May 04, 2016 02:22:22 PM Gerhard Hoffmann wrote: > Am 04.05.2016 um 10:46 schrieb Bruce Griffiths: > > Integrating A Time interval to charge TAC at the front end of a capacitive > > charge redistribution SAR ADC should allow a conversion time of 300ns or > > so

Re: [time-nuts] Fw: Optical transfer of time and frequency

2016-05-04 Thread Bruce Griffiths
In the same vein if it takes 1000 seconds to measure the relative phase of a pair of clocks to within 500ps then the relative ADEV of the clock pair at 1000 sec needs to be somewhat less than 5E-13. For 100 s averaging the relative ADEV of a clock pair needs to be better than 5E-12 @ 100sec. For

Re: [time-nuts] High rate, high precision/accuracy time interval counter methods

2016-05-04 Thread Bruce Griffiths
One method is to have the event trigger sampling of a pair of quadrature phase sinewaves. eg LT1407A-1 dual 14 bit SAR  ADC can sample a quadrature pair of 10MHz sine waves with ~ 5ps resolution in the computed phase. Bruce On Wednesday, 4 May 2016 10:00 PM, Attila Kinali wrote: On Tu

Re: [time-nuts] High rate, high precision/accuracy time interval counter methods

2016-05-04 Thread Bruce Griffiths
next available TAC. Bruce On Wednesday, 4 May 2016 12:00 PM, Bruce Griffiths wrote: On Tuesday, May 03, 2016 02:31:17 PM Attila Kinali wrote: > Hi, > > We had here a discussion about measuring events (ie time stamping > them precisely) with high rates. As some of you know

Re: [time-nuts] High rate, high precision/accuracy time interval counter methods

2016-05-03 Thread Bruce Griffiths
On Tuesday, May 03, 2016 02:31:17 PM Attila Kinali wrote: > Hi, > > We had here a discussion about measuring events (ie time stamping > them precisely) with high rates. As some of you know, Javier and > his group, Bruce and me are working on a system that should give > us something better than 10p

Re: [time-nuts] Using lasers for data transmission

2016-05-01 Thread Bruce Griffiths
The solution with high power is to use a beam expander so that the unaided eye cannot collect a power greater than the safe limit. Using near IR beams also helps. Bruce On Sunday, 1 May 2016 9:00 PM, Dr. David Kirkby (Kirkby Microwave Ltd) wrote: > Hi, > Several (many?) years ago Nat

[time-nuts] Fw: Optical transfer of time and frequency

2016-04-30 Thread Bruce Griffiths
On Sunday, 1 May 2016 10:52 AM, Bruce Griffiths wrote: White Rabbit is open hardware, you are free to build it yourself should you want to do so. All the relevant VHDL etc is available.There will also be suitable TDC designs available on the CERN site.You can also integrate these

Re: [time-nuts] Optical transfer of time and frequency

2016-04-30 Thread Bruce Griffiths
There are synchronous free space optical gigabit ethernet links available, it shouldn't take too much to modify one for White Rabbit. Bruce On Saturday, 30 April 2016 10:13 PM, Magnus Danielson wrote: Hi, On 04/29/2016 11:45 PM, Michael Wouters wrote: > On Sat, Apr 30, 2016 at 6:14 A

Re: [time-nuts] Optical transfer of time and frequency

2016-04-30 Thread Bruce Griffiths
White Rabbit would be good in that a suitable TDC design with 1ns resolution already exists for White Rabbit. This TDC is used in the Tunka valley (near lake Baikal) Siberian Cherenkov telescope array. Note this TDC uses the SERDES receiver in the FPGA to implement a serial to parallel converter

Re: [time-nuts] Optical transfer of time and frequency

2016-04-29 Thread Bruce Griffiths
al includes FPGA boards, VOCXO + > > PLL boards, IR lasers and APD sensor boards, ARM boards, and consumer PCs. > > > > There is also the possibility to use some optics like small reflector > > telescopes, as pointed before, they could be used as beam expanders for &

Re: [time-nuts] Optical transfer of time and frequency

2016-04-29 Thread Bruce Griffiths
Boriani wrote: Yes, but I see that the allan deviation figures they cite aren't achievable with common time-nuts gear now. Considering a VLBI project: first premium stability then superb time transfer. On Fri, Apr 29, 2016 at 5:18 AM, Bruce Griffiths wrote: > Quoting Michael Wouters: &q

Re: [time-nuts] Optical transfer of time and frequency

2016-04-29 Thread Bruce Griffiths
There's more relevant data on optical free space time and frequency transfer here:http://www.nist.gov/manuscript-publication-search.cfm?pub_id=915083 Bruce On Friday, 29 April 2016 4:01 PM, Bruce Griffiths wrote: Quoting Michael Wouters: "According to this, http://ww

[time-nuts] Optical transfer of time and frequency

2016-04-28 Thread Bruce Griffiths
Quoting Michael Wouters: "According to this, http://www.nist.gov/manuscript-publication-search.cfm?pub_id=912449 there are many practical challenges  with a one way free-space optical link." That paper indicates that  one way transfer with noise of a few picosec should be feasible using an IR la

Re: [time-nuts] SE880 GPSDO

2016-04-28 Thread Bruce Griffiths
of the transmit and receive optics reduces the required transmitter power and the associated safety hazards of the transmitted optical beam. Bruce On Friday, 29 April 2016 1:02 PM, Bruce Griffiths wrote: If you add a small beam expander, then there should be few safety issues.In this

Re: [time-nuts] SE880 GPSDO

2016-04-28 Thread Bruce Griffiths
If you add a small beam expander, then there should be few safety issues.In this case a laser beam power of a few (1??) mW may suffice.Similar collection optics at the receiver will also be required. One can use small telescopes for this purpose. I've used an eyepiece with a 12" (305mm) dobsonia

Re: [time-nuts] SE880 GPSDO

2016-04-28 Thread Bruce Griffiths
Multipath effects due to local terrain (mountains etc) may be a significant issue.Relying on AM broadcasts is fraught with issues. Whilst you may find one in Italy, what about Greece (another potential site)? Bruce On Friday, 29 April 2016 9:22 AM, Attila Kinali wrote: On Thu, 28 A

Re: [time-nuts] SE880 GPSDO

2016-04-28 Thread Bruce Griffiths
: a 1KHz quad signal on these carriers, can drive a GPSDO like the 10KHz output of some GPS receivers? The clock being compared to this would be 10MHz downscaled by some decade counters. this would be much simpler to implement. Ilia. Il 27/04/2016 23:38, Bruce Griffiths ha scritto: >

Re: [time-nuts] SE880 GPSDO

2016-04-27 Thread Bruce Griffiths
On Wednesday, April 27, 2016 09:40:05 PM Attila Kinali wrote: > On Wed, 27 Apr 2016 20:18:10 +0200 > > Mike Cook wrote: > > > Use this CW signal on all the telescope stations to phase lock a local > > > OCXO. Using a good OCXO, it should be possible to use loop bandwidths > > > in the 0.1-10Hz ra

Re: [time-nuts] SE880 GPSDO

2016-04-27 Thread Bruce Griffiths
Stabilising the GPS receiver antenna temperature is probably a good idea particularly if it has bandpass filter(s). Bruce On Wednesday, 27 April 2016 9:01 PM, Attila Kinali wrote: On Wed, 27 Apr 2016 01:30:49 +0200 Ilia Platone wrote: > I will use a dedicated FPGA design, and the dat

Re: [time-nuts] SE880 GPSDO

2016-04-27 Thread Bruce Griffiths
> On Wed, 27 Apr 2016 08:25:55 +1200 > Bruce Griffiths wrote: > >> 1) Relative position of any pair of clocks located up to 2km apart has to be >> known to within 3cm or so. Post processing is OK, however differential Earth >> tides between the clock locations may need t

Re: [time-nuts] SE880 GPSDO

2016-04-26 Thread Bruce Griffiths
On Tuesday, April 26, 2016 02:40:14 PM Attila Kinali wrote: > Ciao Ilia, > > On Tue, 26 Apr 2016 02:24:04 +0200 > > Ilia Platone wrote: > > Just for informations, as I must build the board from scratch, does this > > design is good to implement into the datalogger? > > I mean, for the second RTK

Re: [time-nuts] SE880 GPSDO

2016-04-26 Thread Bruce Griffiths
Insufficient information to indicate if carrier phase data is externally available.Without this it appears that the position accuracy is around 10x worse than the requirement for 10ns timestamp accuracy. The timing stability is also somewhat unknown.How well will 2 independent modules track in

Re: [time-nuts] SE880 GPSDO

2016-04-25 Thread Bruce Griffiths
nsity interferome...Submission historyFrom: Dainis Dravins [view email] [v1] Thu, 18 Jun 2015 20:00:34 GMT (4473kb,D) | | | | View on arxiv.org | Preview by Yahoo | | | |   | Bruce On Tuesday, 26 April 2016 11:03 AM, Bruce Griffiths wrote: There's no spec for th 10MHz

Re: [time-nuts] SE880 GPSDO

2016-04-25 Thread Bruce Griffiths
7;t find any Connor Winfield osc with these levels yet. Actually  want to achieve less than around 78ps jitter at 125MHz. (this should be achievable using this also: http://www.digikey.it/product-detail/it/fox-electronics/FVXO-HC53B-125/FVXO-HC53B-125-ND/2153894) Let me know, Ilia. Il 25/

Re: [time-nuts] SE880 GPSDO

2016-04-25 Thread Bruce Griffiths
On Monday, April 25, 2016 09:41:57 PM Ilia Platone wrote: > Hi all, > > I'm trying to build a GPSDO with a FVXO-HC53BR-10 (Fox Electronics) VCXO > and a Telit Jupiter > SE880 ://www.digikey.com/Suppliers/it/Fox-Electronics.page?lang

Re: [time-nuts] Adapting my GPSDO to the FE-5680A

2016-04-20 Thread Bruce Griffiths
used to reject the undesired spurs. Bruce On Thursday, 21 April 2016 10:10 AM, Bruce Griffiths wrote: There's the small matter of the high phase shift tempco of the narrow 10MHz bandpass filters Q~10,000 or so.These would need to either track very closely or be in an oven with

Re: [time-nuts] Adapting my GPSDO to the FE-5680A

2016-04-20 Thread Bruce Griffiths
There's the small matter of the high phase shift tempco of the narrow 10MHz bandpass filters Q~10,000 or so.These would need to either track very closely or be in an oven with very high temperature stability.The PN contribution of these analog filters may also be an issue. Bruce On Thursd

Re: [time-nuts] PICDIV build

2016-04-16 Thread Bruce Griffiths
50 ohm load either with or without a series 50 ohm resistor. Bruce On Sunday, 17 April 2016 10:10 AM, Bruce Griffiths wrote: The PN floor of the 10MHz output from the LTC6957 is very high, much higher than I measured the PN contribution of the LTC6957 itself using an evaluation board

Re: [time-nuts] PICDIV build

2016-04-16 Thread Bruce Griffiths
The PN floor of the 10MHz output from the LTC6957 is very high, much higher than I measured the PN contribution of the LTC6957 itself using an evaluation board. Are you sure that this isn't due to the source itself? What did you use for the reference source for the 3120A?What is its PN?Have you

Re: [time-nuts] Fast risetime pulse generator

2016-04-12 Thread Bruce Griffiths
On Wednesday, April 13, 2016 12:36:54 AM Gerhard Hoffmann wrote: > Am 12.04.2016 um 22:30 schrieb BIll Ezell: > > (cross-posted to volt-nuts) > > After paying only limited attention to this topic, I suddenly have a > > need for a pulse generator that has <150 ps risetime and a pulse width > > of at

Re: [time-nuts] Fast risetime pulse generator

2016-04-12 Thread Bruce Griffiths
http://www.its.caltech.edu/~hajimiri/pdf/non-linear.transmission.pdf The above indicates how cascaded NLTLs using CMOS processes can be used to construct NLTLs that sharpen both edges of a pulse. 2.5ps fwhm impulses can also be produced. Bruce On Tuesday, April 12, 2016 04:42:06 PM jimlux wrote:

Re: [time-nuts] Precise Time transfer and relative position over a short baseline

2016-04-11 Thread Bruce Griffiths
> between the telescopes that drive the start/stop signals of the TDCs, > > then we'd tag only the lapses between photon detection from each > > telescope. In this way a single clock would be used on a receiving and > > recording station. > > > > Is this

[time-nuts] Precise Time transfer and relative position over a short baseline

2016-04-10 Thread Bruce Griffiths
There is a proposal to use multiple light bucket style optical telescopes to do Intensity stellar Interferometry over short baselines (up to perhaps 1km  or so) by using independent clocks to time tag photon  arrivals. store the time tags and process the data off line. Depending on the time tag

Re: [time-nuts] Advise on building a DIY GPSDO?

2016-04-10 Thread Bruce Griffiths
Just drive the mixer LO at 8MHz and replace the 14MHZ bandpass filter with a 2MHz bandpass filter. Bruce On Sunday, 10 April 2016 7:23 PM, Bruce Griffiths wrote: No, you can just drive the mixer LO with 8MHz and use a 2MHz bandpass filte= r (plus the 10MHz bandpass filter) on the

Re: [time-nuts] Advise on building a DIY GPSDO?

2016-04-09 Thread Bruce Griffiths
It can easily be done using a variant of the dual conjugate regenerative divider.Feed the 24 MHz signal into the LO port of a mixer.Use a dual bandpass filters centred on 14MHz and 10MHz to filter the IF port amplify the outputs of the bandpass filters and drive the mixer RF port with  the combi

Re: [time-nuts] Oleg' s PN test Re: A new member & PN test set

2016-03-31 Thread Bruce Griffiths
est Re: A new member & PN test set ------ From: "Bruce Griffiths" > You actually need to measure the filter > response. OK. It is here (the frequency span is 2..102MHz, the amplitude axis is 10dB/div): http://skydan.in.ua/PNTestSet/

Re: [time-nuts] Oleg' s PN test Re: A new member & PN test set

2016-03-30 Thread Bruce Griffiths
est set Am 30.03.2016 um 21:20 schrieb Bruce Griffiths: > Conical inductors are available that are effectively resonance free to 40GHz > but the largest value is around 10uH. In principle one could wind one's own > conical inductor with a larger value, However an iron powder (carbo

Re: [time-nuts] Oleg' s PN test Re: A new member & PN test set

2016-03-30 Thread Bruce Griffiths
On Thursday, March 31, 2016 08:20:19 AM Bruce Griffiths wrote: > The first inductor self resonance is much lower than it need be. EPCOS have > a range of inductors which have a much higher first self resonance > frequency. > > The other problem is that inductors have sev

Re: [time-nuts] Oleg' s PN test Re: A new member & PN test set

2016-03-30 Thread Bruce Griffiths
amped. Lossy Ferrites and resistors can be useful, however one has to be careful not to increase the noise at frequencies of interest. Bruce On Wednesday, March 30, 2016 06:11:51 PM Oleg Skydan wrote: > -- > From: "Bruce Griffiths" >

Re: [time-nuts] high rev isolation amps

2016-03-30 Thread Bruce Griffiths
Using a higher dissipation transistor package for the output stage is also the strategy adopted in some commercial versions of these amplifiers.  Note that the amplifier with the 4:1 (turns ratio) output transformer and 300 ohm resistor in series with the primary of the input transformer is inten

Re: [time-nuts] Oleg' s PN test Re: A new member & PN test set

2016-03-29 Thread Bruce Griffiths
One hidden issue you don't address is that operation of the 40uH inductor at frequencies above its parallel resonance may allow substantial RF at the sum of the LO and RF frequencies to appear at the opamp input. 120MHz at the 797 input will likely lead to RF rectification effects in the opamp i

Re: [time-nuts] high rev isolation amps

2016-03-29 Thread Bruce Griffiths
With most of these old circuits reducing the LF noise contribution to the emitter/collector current by the biasing circuit by utilising lower noise power supplies and/or using improved biasing methods can improve the clse in PN significantly. The PZT3904 and PZT3906 are still available. Bruce

Re: [time-nuts] Phase noise test set reference articles

2016-03-29 Thread Bruce Griffiths
I dont believe that the LF IF port output resistance of the minicircuits phase detectors is 500 ohm.Its just that this IF port load provides aa reasonable 50 ohm match at the RF/LO ports. The IF port LF output impedance is likely to be 100 ohms or less some of which will be intrinsic (having a e

Re: [time-nuts] Oleg' s PN test Re: A new member & PN test set

2016-03-29 Thread Bruce Griffiths
NIST indicate in several early papers that using 50 ohm in series with a capacitor increases the noise over that achieved by capacitively terminating the IF port at the sum frequency (LO + RF) as you have done. NB the RF and LO port match will be improved somewhat with suitable low value series

Re: [time-nuts] Trimble Thunderbolt GPSDO Troubleshooting

2016-03-29 Thread Bruce Griffiths
There aren't enough resistors for a high resolution R-2R DAC so its likely to be something like 4 (or more) x 4 bit (R, 2R, 4R, 8R) sub dacs with weighted resistive summing of the sub DAC outputs. Bruce On Tuesday, 29 March 2016 6:09 PM, Ryan Stasel wrote: All, Yay progress (one step

Re: [time-nuts] Trimble Thunderbolt GPSDO Troubleshooting

2016-03-29 Thread Bruce Griffiths
Looking at the sample I prepared earlier, except for a single 120 ohm resistors all the blue resistors are 10 kohms. On Tuesday, 29 March 2016 7:27 PM, Bruce Griffiths wrote: There aren't enough resistors for a high resolution R-2R DAC so its likely to be something like 4 (or

Re: [time-nuts] Oleg' s PN test Re: A new member & PN test set

2016-03-28 Thread Bruce Griffiths
Inversion of gain isn't required, the system will just lock on the opposite slope of the phase detector output. Level shifting to accommodate different EFC ranges nay be useful however. Bruce On Tuesday, 29 March 2016 1:12 PM, Magnus Danielson wrote: Hi Oleg, I like the simplicity h

Re: [time-nuts] Oleg' s PN test Re: A new member & PN test set

2016-03-28 Thread Bruce Griffiths
That's probably a good example of how not to do it well. 1) The chosen mixer isnt as low noise as the various Minicircuits phase detectors. 2) The 50 ohm load after the filter merely serves to halve the phase detector gain. The IF port is terminated by a 15nF capacitor at RF and LO frequencies

Re: [time-nuts] Framework for simulation of oscillators

2016-03-27 Thread Bruce Griffiths
I regularly acquire and process over 4x that number using a Timepod. Bruce On Monday, 28 March 2016 3:02 PM, John Miles wrote: > BTW: I discovered that Timelab stops processing after 10'000'000 datapoints, > which is kind inconvenient when doing a long term measurment... It had better

Re: [time-nuts] high rev isolation amps

2016-03-26 Thread Bruce Griffiths
On Saturday, March 26, 2016 10:57:01 AM jimlux wrote: > Consulting the time-nuts hive mind here.. > > I'm looking for off the shelf connectorized amplifiers with very good > reverse isolation for doing things like intermod measurements (e.g. 2 > signal generators followed by amps/pads into a combi

Re: [time-nuts] high rev isolation amps

2016-03-26 Thread Bruce Griffiths
On Saturday, March 26, 2016 09:30:30 PM Rob Sherwood. wrote: > You cannot add the directivity and gain. Doesn't work that way. Rob, NC0B. > > Sent from my iPad Minicircuits would disagree with that and its their amplifier. Bruce > > > On Mar 26, 2016, at 2:00 PM, "jimlux" wrote: > > > > > >

Re: [time-nuts] Spectrum Analyzer Specifications --> phase noise test set

2016-03-25 Thread Bruce Griffiths
As long as BNC connectors are avoided as their phase shift isnt that stable.. A small mechanical disturbance will change it significantly. Actually low noise PN measurement systems can be very sensitive to cable movement. Bolting modules to a metal baseplate helps a lot as does using intermodule

Re: [time-nuts] Spectrum Analyzer Specifications --> phase noise test set

2016-03-25 Thread Bruce Griffiths
On Friday, March 25, 2016 10:55:59 AM jimlux wrote: > On 3/25/16 5:07 AM, Bob Camp wrote: > > Hi > > > > The reverse isolation issue is indeed one of the weaknesses of this setup. > > For testing OCXO’s isolation is not a big deal. A normal OCOX has very > > good output buffering to give it the st

Re: [time-nuts] Spectrum Analyzer Specifications

2016-03-24 Thread Bruce Griffiths
e original idea was to use the sound card you already have …. Bob > On Mar 24, 2016, at 5:30 PM, Bruce Griffiths wrote: > > If the software implements acquisition of cross power spectra > Then one could implement a near state of the art cross correlation PN test

Re: [time-nuts] Spectrum Analyzer Specifications --> phase noise test set

2016-03-24 Thread Bruce Griffiths
http://www.ko4bb.com/getsimple/index.php?id=low-noise-high-reverse-isolation-low-distortion-rf-amplifier Bruce On Friday, 25 March 2016 4:57 PM, Bruce Griffiths wrote: If 40-50dB reverse isolation is sufficient one can easily build suitable low gain (<10dB) amps with a single

Re: [time-nuts] Spectrum Analyzer Specifications

2016-03-24 Thread Bruce Griffiths
u already have …. Bob > On Mar 24, 2016, at 5:30 PM, Bruce Griffiths > wrote: > > If the software implements acquisition of cross power spectra > Then one could implement a near state of the art cross correlation PN test > set > based on this. > With a suitable p

Re: [time-nuts] Spectrum Analyzer Specifications

2016-03-24 Thread Bruce Griffiths
If the software implements acquisition of cross power spectra Then one could implement a near state of the art cross correlation PN test set based on this. With a suitable preamp the sound card could also be used for power supply and reference noise measurement. Bruce On Thursday, March 24, 201

Re: [time-nuts] Conditioning Rubidium Oscillators

2016-03-15 Thread Bruce Griffiths
They actually determine the phase offset and rate of change of phase (i..e. frequency offset and not frequency drift as claimed in the paper) from a linear regression fit to a sequence of phase differences. The results from the regression fit are then used in an adaptive PID loop. Bruce On Tue

Re: [time-nuts] Best Rubidium Frequency Standard

2016-03-12 Thread Bruce Griffiths
The ultimate solution to this conundrum is to roll ones own Rubidium standard to achieve performance beyond the HP5065A e.g.: https://doc.rero.ch/record/32317/files/2318.pdf Bruce On Saturday, March 12, 2016 01:46:29 PM Bob Camp wrote: > Hi > > Another example of the “stored in magic memory

Re: [time-nuts] Inside a CTS 1960017 OCXO

2016-03-04 Thread Bruce Griffiths
Interesting in that the internal construction is similar to the 5.55MHz CTS OCXO opened (with a propane torch - nothing inside can was damaged) to deduce out the pinout and the supply voltage.Oscillator circuit was similar although the output buffer was a CMOS flipflop that divided the  osci

Re: [time-nuts] MV89A / MTI-260 / HP10811 carrier board

2016-02-27 Thread Bruce Griffiths
To program the chip one needs a USB to JTAG programming cable and development software to produce the programming data file for the chip. The Xilinx Coolrunner II CPLDS are only supported by Xilinx's ISE software which is obsolete. Vivado the current development software doesn't support them. Bru

Re: [time-nuts] Introduction

2016-02-24 Thread Bruce Griffiths
On Wednesday, February 24, 2016 12:55:42 AM Enrico Bellotti wrote: > Hello to all, > > first of all, thank you for the great and useful work that the time-nuts > have done over the years. > > I have finally been able to gather all my counters (HP5335A, HP51131A, > HP5370A, HP5370B #1, HP5370B #2)

Re: [time-nuts] Valpey-Fisher VFT22H OCXO Output

2016-01-30 Thread Bruce Griffiths
Waveform is similar to that produced by an inductive input low pass filter with all but the first section inoperative when driven by a square wave input. Bruce On Saturday, January 30, 2016 09:33:45 AM Bob Camp wrote: > Hi > > Unless it’s a really weird termination impedance, it’s broke. The SMA

Re: [time-nuts] HP5370B & HP5345B Front-End IC Redesign Effort

2016-01-27 Thread Bruce Griffiths
Since the response needs to extend to dc the first suggestion doesnt fit well.ECL receivers are OK but the faster ones tend to fail with too much input >  4Vpp??.An npn long-tailed pair with a 16mA tail current will still be required for the output stage. Bruce On Thursday, 28 January 201

Re: [time-nuts] HP5370B & HP5345B Front-End IC Redesign Effort

2016-01-27 Thread Bruce Griffiths
On Jan 27, 2016, at 3:38 PM, Bruce Griffiths > wrote: > > Something like the attached schematic should suffice however an extra gain > stage would probably be necessary to achieve the 100mV sensitivity.NB Vcc = > 15V, Vee = -15V. > Bruce > > >    On Thursday, 28 J

Re: [time-nuts] HP5370B & HP5345B Front-End IC Redesign Effort

2016-01-27 Thread Bruce Griffiths
PM Bruce Griffiths wrote: > Something like the attached schematic should suffice however an extra gain > stage would probably be necessary to achieve the 100mV sensitivity.NB Vcc = > 15V, Vee = -15V. Bruce > > > On Thursday, 28 January 2016 5:02 AM, paul swed > wrote

Re: [time-nuts] HP5370B & HP5345B Front-End IC Redesign Effort

2016-01-27 Thread Bruce Griffiths
Something like the attached schematic should suffice however an extra gain stage would probably be necessary to achieve the 100mV sensitivity.NB Vcc = 15V, Vee = -15V. Bruce On Thursday, 28 January 2016 5:02 AM, paul swed wrote: Some great comments and like all of you I like my 5370s

Re: [time-nuts] HP5370B & HP5345B Front-End IC Redesign Effort

2016-01-27 Thread Bruce Griffiths
Just make sure that the airflow around the OXCO isnt too great or the oven temperature regulation will suffer. Bruce On Wednesday, 27 January 2016 8:02 PM, Perry Sandeen via time-nuts wrote: Hi, Wrote: Since the front end chips are mixed signal ASIC’s, it will take more than a bit of

Re: [time-nuts] HP5370B & HP5345B Front-End IC Redesign Effort

2016-01-25 Thread Bruce Griffiths
I've been considering this for some time.The key is the replacement for the triggered phase locked oscillator based interpolators. FPGA based TDCs aren't yet quite good enough. Off the shelf TDC chips are a little better but still fall a little short in performance. Whilst a TAC approach can ach

Re: [time-nuts] low noise multiplication to 100 MHz

2016-01-24 Thread Bruce Griffiths
Unfortunately the ICS570 (like all zero delay buffers) has an output jitter approaching about 1000 times the likely RF ADC internal sampling jitter. The resultant SNR degradation may be a little excessive for this application.. Bruce On Monday, 25 January 2016 11:00 AM, Bert Kehren via ti

Re: [time-nuts] HP5370B & HP5345B Front-End IC Redesign Effort

2016-01-23 Thread Bruce Griffiths
Mat I can help out with a few design ideas and check your calculations.I only have a 5370A so I probably cant help with dispersion measurements. I'm tempted to replace the power hungry 5370A with something with a power consumption of under 10W and noise (jitter) at least 5x smaller. Bruce

Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-19 Thread Bruce Griffiths
The clock to output delay of the PIC will be similar or perhaps a little worse. You seem to be confusing delay and jitter. There are zero delay buffers (in the sense that the output transitions are aligned with the clock transitions) but these have excessive jitter due to the internal DLL used t

Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-19 Thread Bruce Griffiths
On Monday, January 18, 2016 08:45:20 PM you wrote: > > > In message <29659871.S9XTlaFu4r@linux>, Bruce Griffiths writes: > >To detect 100Hz modulation due to photocurrents in the LEDs the 150W > >incandescent bulb had to be placed within a few cm of the LE

Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-18 Thread Bruce Griffiths
On Monday, January 18, 2016 11:45:00 AM Poul-Henning Kamp wrote: > > > In message <569bc23a.3030...@arcor.de>, Gerhard Hoffmann writes: > >LEDs abused as References: > This is one of the most stupid ideas ever, because LEDs works both ways. > > (Back when LED wrist-watches first came out

Re: [time-nuts] Distribution Amplifier: a look inside the 6502B

2016-01-16 Thread Bruce Griffiths
Its much more likely that the 6502 input stage is noninverting with a gain of 1+ 133/100. The LMH6702 is a current feedback amp as such the feedback resistor is selected to ensure stability. Usually the value doesn't change much over a range of gains. A value about 1/2 that recommendd on the dat

Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-15 Thread Bruce Griffiths
On Friday, January 15, 2016 12:13:47 PM Attila Kinali wrote: > On Thu, 14 Jan 2016 09:50:15 -0500 > > Vlad wrote: > > I was thinking to make a frequency divider by using FPGA. Here is my > > attempt to implement it using VHDL. > > This is frequency divder plus D flip-flop which I was planed to us

Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-15 Thread Bruce Griffiths
For lowest jitter the gate power supply noise needs to be very low.Biasing the input at 50% supply helps somewhat but the gate threshold is never exactly 50% and the low pass filtering effect of the coupling capacitor increases the contribution of power supply noise to jitter. A power supply noi

Re: [time-nuts] Divider circuit for Rubidium Standard

2016-01-10 Thread Bruce Griffiths
Anything like the pnp + diode circuit shown in HP application note 301-1? Bruce On Monday, 11 January 2016 8:00 AM, Richard (Rick) Karlquist wrote: No, it was just word of mouth within the company. Somewhere I have a piece of notebook paper on which Tom drew the circuit.  We did have i

Re: [time-nuts] Divider circuit for Rubidium Standard

2016-01-09 Thread Bruce Griffiths
/documents/waveform.html, with modifications suggested by Bruce Griffiths and Ulrich Bangert. The revised circuit works with inputs as low as -20dBm. ---schnapp--- The modification I can see is that the "current source" part of the differential pair changed from being a single, shared resist

Re: [time-nuts] DMTD - analog multiplier vs. diode mixer ?

2016-01-06 Thread Bruce Griffiths
Sorry I was under the impression I was replying to Don's post. Sometimes my Windows machine seems to mess up the part of the thread to which I thought I was replying. My Linux box doesn't seem to have this problem. Bruce On Tuesday, January 05, 2016 09:26:14 PM Charles Steinmetz wrote: > Bruce w

Re: [time-nuts] DMTD - analog multiplier vs. diode mixer ?

2016-01-05 Thread Bruce Griffiths
You mean DMTD =  dual mixer time differencenotDDMTD = Digital dual mixer timer difference.The latter uses a pair of synchronisers / shift registers instead of a pair of mixers. Bruce On Wednesday, 6 January 2016 12:03 PM, Charles Steinmetz wrote: Poul-Henning wrote: >My little HP506

Re: [time-nuts] DMTD - analog multiplier vs. diode mixer ?

2016-01-05 Thread Bruce Griffiths
On Tuesday, January 05, 2016 09:37:00 PM Poul-Henning Kamp wrote: > > > In message <553575724.582265.1452024437677.javamail.ya...@mail.yahoo.com>, Bruce Griffiths writes: > >The noise of such Gilbert cell based analog multipliers far exceeds that of > >the tr

Re: [time-nuts] DMTD - analog multiplier vs. diode mixer ?

2016-01-05 Thread Bruce Griffiths
You could also consider a DDMTD as useed in CERN's White rabbit project.Apart from the sine to logic level conversion its all digital. With care in the design the jitter should be sub picosecond. Bruce On Wednesday, 6 January 2016 9:01 AM, Poul-Henning Kamp wrote: My little HP5065 pr

Re: [time-nuts] DMTD - analog multiplier vs. diode mixer ?

2016-01-05 Thread Bruce Griffiths
The noise of such Gilbert cell based analog multipliers far exceeds that of the traditional mixer. Bruce On Wednesday, 6 January 2016 9:01 AM, Poul-Henning Kamp wrote: My little HP5065 project is continually running into the jitter of my HP5370B counter which is annoying me, so I'm loo

Re: [time-nuts] Distribution amplifier, v2 simulation+design

2015-12-27 Thread Bruce Griffiths
characteristics to be determined so that a better informed selection can be made. Bruce On Monday, 28 December 2015 9:01 AM, Bruce Griffiths wrote: You could use something like an LT3042 for the voltage regulator.The 1/f noise corner can be set with an external cap.It has relatively

Re: [time-nuts] Distribution amplifier, v2 simulation+design

2015-12-27 Thread Bruce Griffiths
You could use something like an LT3042 for the voltage regulator.The 1/f noise corner can be set with an external cap.It has relatively high PSRR up to 2MHz or so.However it has a maximum output of 200mA.One could use 2 or more if required. Bruce On Monday, 28 December 2015 8:00 AM, Ander

Re: [time-nuts] Novatel ProPak-V3 GPSDO

2015-12-25 Thread Bruce Griffiths
Something like the attached circuit?Could use individual Vcc voltage regulators for each channel perhaps? Bruce On Saturday, 26 December 2015 4:00 PM, Bob Camp wrote: Hi There have been at least a couple dozen listings over the last two years. Some of them have been for multiple units

Re: [time-nuts] SMD TADD-1 distribution amplifier

2015-12-22 Thread Bruce Griffiths
My point was that the PN performance of a device (eg OPA355) with largish flicker noise can sometimes be a bit better than one might naively expect. The OPA355's flicker voltage noise is 30dB worse than some wideband bipolar opamps. Note: I don't recommend this particular fixed gain opamp for cr

Re: [time-nuts] SMD TADD-1 distribution amplifier - seeking comments and suggestions?

2015-12-22 Thread Bruce Griffiths
supply is clean, but nothing special (LT1764). > > I believe there are plots in the archives. > > Bob > > > On Dec 21, 2015, at 10:20 PM, Bruce Griffiths > > wrote: > > > > Do those modern CMOS gates use deuterated wafers?I've not found any > > measure

Re: [time-nuts] SMD TADD-1 distribution amplifier - seeking comments and suggestions?

2015-12-21 Thread Bruce Griffiths
Do those modern CMOS gates use deuterated wafers?I've not found any measurements of the PN of modern CMOS gates.The measurements of devices like the venerable 74AC04 indicate a PN floor around 10dBc/Hz worse than that. Bruce On Tuesday, 22 December 2015 3:00 PM, Bob Camp wrote: Hi To

Re: [time-nuts] SMD TADD-1 distribution amplifier

2015-12-21 Thread Bruce Griffiths
Such blanket statements aren't a particularly useful guide unless calibrated by measurements. For example the OPA653 has a measured PN floor of around -163dBc/Hz for a +13dBm input and the measured PN @1Hz offset is -150dBc/Hz (comparable with the NIST isolation amps).However the voltage noise i

Re: [time-nuts] SMD TADD-1 distribution amplifier - seeking comments and suggestions?

2015-12-21 Thread Bruce Griffiths
1/2 power for AM plus 1/2 power for PN plus another 1/2 to convert from DSB to SSB. Bruce On Tuesday, 22 December 2015 9:05 AM, Anders Wallin wrote: > > AD8055 in non-inverting circuit with 1+2k7/2k7 gain has 9.6 nV/sqrt(Hz) > > input-referred voltage noise PSD (if I calculated correc

Re: [time-nuts] SMD TADD-1 distribution amplifier - seeking comments and suggestions?

2015-12-20 Thread Bruce Griffiths
Reverting somewhat closer to the original topic:Attached 2 BJT circuit has unity gain with a PN floor well below -180dBc/Hz (10MHz +13dBm input) with a reverse isolation better than 60dB. 2nd Harmonic output is about -70dBc or so.4 of these could be driven from the outputs of a 4 way splitter to

Re: [time-nuts] SMD TADD-1 distribution amplifier

2015-12-19 Thread Bruce Griffiths
The LMH6624 has too much distortion to be particularly useful for 10MHz with outputs of 3dBm or more. Bruce On Saturday, 19 December 2015 10:01 PM, Li Ang <379...@qq.com> wrote: Hi Charles,   I'm making a 1-to-4 distribution amplifier for 10MHz.  Can you give any suggestion? The sche

<    1   2   3   4   5   6   7   8   9   10   >