It's connected via the pcie cable.

BR
Snehasish

On 10-Aug-2017, at 9:04 PM, Marcus D. Leech 
<mle...@ripnet.com<mailto:mle...@ripnet.com>> wrote:

On 08/10/2017 05:26 AM, Snehasish Kar wrote:

Please find the log below:


linux; GNU C++ version 4.8.4; Boost_105400; UHD_003.010.002.000-0-unknown


Creating the usrp device with: ...
-- X300 initialization sequence...
-- Connecting to niusrpriorpc at localhost:5444...
-- Using LVBITX bitfile /usr/local/share/uhd/images/usrp_x310_fpga_HG.lvbitx...
-- Setup basic communication...
-- Loading values from EEPROM...
-- Setup RF frontend clocking...
-- Radio 1x clock:200
-- Detecting internal GPSDO.... Found an internal GPSDO: LC_XO, Firmware Rev 
0.929a
-- [DMA FIFO] Running BIST for FIFO 0... pass (Throughput: 1303.3MB/s)
-- [DMA FIFO] Running BIST for FIFO 1... pass (Throughput: 1303.8MB/s)
-- [RFNoC Radio] Performing register loopback test... pass
-- [RFNoC Radio] Performing register loopback test... pass
-- [RFNoC Radio] Performing register loopback test... pass
-- [RFNoC Radio] Performing register loopback test... pass
-- Performing timer loopback test... pass
-- Performing timer loopback test... pass
Using Device: Single USRP:
  Device: X-Series Device
  Mboard 0: X310
  RX Channel: 0
    RX DSP: 0
    RX Dboard: A
    RX Subdev: UBX RX
  RX Channel: 1
    RX DSP: 0
    RX Dboard: B
    RX Subdev: UBX RX
  TX Channel: 0
    TX DSP: 0
    TX Dboard: A
    TX Subdev: UBX TX
  TX Channel: 1
    TX DSP: 0
    TX Dboard: B
    TX Subdev: UBX TX

Setting RX Rate: 50.000000 Msps...
Actual RX Rate: 50.000000 Msps...

Setting device timestamp to 0...
Press Ctrl + C to stop streaming...
Waiting for "lo_locked": ++++++++++ locked.


Begin streaming 10000 samples, 1.500000 seconds in the future...

Done!

Receive timeout before all samples received...


BR

Snehasish

________________________________

How is your X310 connected to your computer?


_______________________________________________
USRP-users mailing list
USRP-users@lists.ettus.com
http://lists.ettus.com/mailman/listinfo/usrp-users_lists.ettus.com

Reply via email to