Maybe ramp up time for the transmitter?

If you send 2.5us worth of 0's before your 1000 samples, do you see the
appropriate number of pulse burst length?

This method would be a compromise between the two methods you described.
Does that work for you?

Brian

On Tue, Oct 16, 2018 at 6:19 PM Ryan Marlow via USRP-users <
usrp-users@lists.ettus.com> wrote:

> Hey All,
> I am trying to transmit a series of pulses with the X300 and am seeing
> some odd behavior. I want to transmit a set number of samples in a pulse,
> let's say 1000. At 200 MSPS (the tx rate in the radio core) I would expect
> this burst to last approximately 5us. Yet, when I receive the data, I see a
> burst that is shorter by half, approx 2.5 us.  To send these bursts, I am
> sending a timed burst of 1000 samples to the Radio with significant space
> in between. Odder still, when I increase my pulse to 2000 samples,
> expecting a pulse of 10 us, it is shortened again by 2.5 us, making the
> pulse 7.5 us. I can confirm with an ILA that the Radio core is receiving
> all pulsed samples and they are passed to the daughterboard interface. Is
> there an obvious explanation for this behavior that I am overlooking?
> One solution I have devised is generating a constant stream of data in
> place of the gaps between pulses that contain zero'd data. So it would look
> like this
> Data x 1000 -> zeroes in place of gaps -> repeat.
> In my original method, the transmitter is only active during the pulses.
> In the alternative solution, the transmitter is active the whole time.
> This solution gives me the behavior that I want but I am curious as to why
> the pulses are shortened.
>
> Thanks,
> Ryan Marlow
>
> --
> Ryan L. Marlow
> R L Marlow Consulting LLC
> rlmarlow.com
> _______________________________________________
> USRP-users mailing list
> USRP-users@lists.ettus.com
> http://lists.ettus.com/mailman/listinfo/usrp-users_lists.ettus.com
>
_______________________________________________
USRP-users mailing list
USRP-users@lists.ettus.com
http://lists.ettus.com/mailman/listinfo/usrp-users_lists.ettus.com

Reply via email to