Is this off topic?

How do I cause iverilog to pick up modules from files?

I tried '-I../modules'

I created a schematic with device equal to the verilog file containing the 
module definition.  For example,

  in gschem,
  device ver_7474

  in the file,
  ../modules/ver_7474
      module ver_7474(Q,Qbar,D,clk,PREbar,CLRbar);
      ...


Is there an include mechanism?  Do I have to use 
  iverilog -ccmd_file ?

tomdean


_______________________________________________
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user

Reply via email to