Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-14 Thread Daniel Watson
I'm curious if that code will perform the intended function (down to the clock 
cycle) when compiled. A check in the simulator would be a good idea while the 
TIA is busy.

If it doesn't give you the performance you are looking for, try programming it 
in assembly, as was done for the PicDiv.

Dan

> On Jan 13, 2016, at 9:35 PM, Nick Sayer via time-nuts  
> wrote:
> 
> The code is at
> 
> https://github.com/nsayer/GPS-disciplined-OXCO/blob/master/tiny_divider.c
> 
> It’s a first cut. The code at the moment will just divide the input clock by 
> 10 million, so you get a 1 PPS 50% duty square wave out. It should run on any 
> ATTinyx5 model - it certainly will fit on at ATTiny25 if you wish.
> 
> I’ve not exhaustively tested it yet. I need to feed it into my TIA to make 
> sure it’s exactly 1 Hz - it’s conceivable I’ve committed a fencepost error 
> that would make it off enough that my scope can’t tell (my TIA is busy at the 
> moment).
> 
> I believe the code won’t do the math properly below 10 MHz. You’d need to 
> select the next lower prescale setting and change a couple of the formulae, 
> but I don’t foresee an issue with doing so.
> 
> I’ll come back with an exhaustive test report (and any bug fixes) when I get 
> my TIA back from GPSDO ADEV duty. :)
> 
>> On Jan 13, 2016, at 12:12 PM, Nick Sayer  wrote:
>> 
>> Just shy of a half dozen folks have asked, so I'll post here as soon as I 
>> finish cleaning it up. I'll put it on Github when it's ready. I just need a 
>> day or two. 
>> 
>> Sent from my iPhone
>> 
>>> On Jan 13, 2016, at 6:43 AM, Nick Sayer via time-nuts  
>>> wrote:
>>> 
>>> If anyone is interested in the equivalent functionality using an ATTiny25 
>>> (for instance, if you’re already heavily invested in AVR instead of PIC, 
>>> like I am), ping me. I’ve privately written code to solve almost the same 
>>> problem and it could easily be adapted into doing the same job.
>>> 
 On Jan 13, 2016, at 5:23 AM, Edesio Costa e Silva 
  wrote:
 
 Hi!
 
 Try TVB's picDiv at http://www.leapsecond.com/pic/picdiv.htm
 
 Edésio
 
> On Wed, Jan 13, 2016 at 09:22:09AM +, Jerome Blaha wrote:
> Hey Guys,
> 
> Is there an easy circuit to build that can consistently deliver a 1 PPS 
> from a 10MHz source with excellent resolution and repeatability?  My 
> first application is to test different 10MHz oscillators without a TIC 
> always attached and then compare the PPS output change over time against 
> a master GPSDO PPS with an HP53132A.
> 
> The circuit used for PPS generation would have to deliver consistent PPS 
> output with preferably not more than 100ps noise or jitter, assuming a 
> perfect source.  I'm totally guessing that for this resolution, the PPS 
> would have to be generated and accurate to within 0.001Hz every second.  
> If this is too difficult, maybe the integration time can be increased to 
> generate one pulse every 10second or every 100,000,000.00 cycles?
> 
> Finally, is a square 10Mhz reference any better in this case than a 
> sinusoidal input for generating the PPS?
> 
> Thanks,
> Jerome
> 
> ___
> time-nuts mailing list -- time-nuts@febo.com
> To unsubscribe, go to 
> https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> and follow the instructions there.
 ___
 time-nuts mailing list -- time-nuts@febo.com
 To unsubscribe, go to 
 https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
 and follow the instructions there.
>>> 
>>> ___
>>> time-nuts mailing list -- time-nuts@febo.com
>>> To unsubscribe, go to 
>>> https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
>>> and follow the instructions there.
> 
> ___
> time-nuts mailing list -- time-nuts@febo.com
> To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> and follow the instructions there.
___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-14 Thread Bryan _
Nick, can the Attiny25 divide down a 20Mhz input. I understand the 12fxxx pics 
max out at 20Mhz on a input so not sure if they would be suitable for my 
purpose. Can't seem to find anything in the datasheet for the tiny that 
explains the maximum frequency on a input pin.

-=Bryan=-

> Date: Wed, 13 Jan 2016 12:12:39 -0800
> To: nsa...@kfu.com; time-nuts@febo.com
> Subject: Re: [time-nuts] Generating a solid PPS from 10Mhz source
> From: time-nuts@febo.com
> 
> Just shy of a half dozen folks have asked, so I'll post here as soon as I 
> finish cleaning it up. I'll put it on Github when it's ready. I just need a 
> day or two. 
> 
> Sent from my iPhone
> 
> > On Jan 13, 2016, at 6:43 AM, Nick Sayer via time-nuts  
> > wrote:
> > 
> > If anyone is interested in the equivalent functionality using an ATTiny25 
> > (for instance, if you’re already heavily invested in AVR instead of PIC, 
> > like I am), ping me. I’ve privately written code to solve almost the same 
> > problem and it could easily be adapted into doing the same job.
> > 
> >> On Jan 13, 2016, at 5:23 AM, Edesio Costa e Silva 
> >>  wrote:
> >> 
> >> Hi!
> >> 
> >> Try TVB's picDiv at http://www.leapsecond.com/pic/picdiv.htm
> >> 
> >> Edésio
> >> 
> >>> On Wed, Jan 13, 2016 at 09:22:09AM +, Jerome Blaha wrote:
> >>> Hey Guys,
> >>> 
> >>> Is there an easy circuit to build that can consistently deliver a 1 PPS 
> >>> from a 10MHz source with excellent resolution and repeatability?  My 
> >>> first application is to test different 10MHz oscillators without a TIC 
> >>> always attached and then compare the PPS output change over time against 
> >>> a master GPSDO PPS with an HP53132A.
> >>> 
> >>> The circuit used for PPS generation would have to deliver consistent PPS 
> >>> output with preferably not more than 100ps noise or jitter, assuming a 
> >>> perfect source.  I'm totally guessing that for this resolution, the PPS 
> >>> would have to be generated and accurate to within 0.001Hz every second.  
> >>> If this is too difficult, maybe the integration time can be increased to 
> >>> generate one pulse every 10second or every 100,000,000.00 cycles?
> >>> 
> >>> Finally, is a square 10Mhz reference any better in this case than a 
> >>> sinusoidal input for generating the PPS?
> >>> 
> >>> Thanks,
> >>> Jerome
> >>> 
> >>> ___
> >>> time-nuts mailing list -- time-nuts@febo.com
> >>> To unsubscribe, go to 
> >>> https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> >>> and follow the instructions there.
> >> ___
> >> time-nuts mailing list -- time-nuts@febo.com
> >> To unsubscribe, go to 
> >> https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> >> and follow the instructions there.
> > 
> > ___
> > time-nuts mailing list -- time-nuts@febo.com
> > To unsubscribe, go to 
> > https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> > and follow the instructions there.
> ___
> time-nuts mailing list -- time-nuts@febo.com
> To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> and follow the instructions there.
  
___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-14 Thread Nick Sayer via time-nuts
The code is at

https://github.com/nsayer/GPS-disciplined-OXCO/blob/master/tiny_divider.c

It’s a first cut. The code at the moment will just divide the input clock by 10 
million, so you get a 1 PPS 50% duty square wave out. It should run on any 
ATTinyx5 model - it certainly will fit on at ATTiny25 if you wish.

I’ve not exhaustively tested it yet. I need to feed it into my TIA to make sure 
it’s exactly 1 Hz - it’s conceivable I’ve committed a fencepost error that 
would make it off enough that my scope can’t tell (my TIA is busy at the 
moment).

I believe the code won’t do the math properly below 10 MHz. You’d need to 
select the next lower prescale setting and change a couple of the formulae, but 
I don’t foresee an issue with doing so.

I’ll come back with an exhaustive test report (and any bug fixes) when I get my 
TIA back from GPSDO ADEV duty. :)

> On Jan 13, 2016, at 12:12 PM, Nick Sayer  wrote:
> 
> Just shy of a half dozen folks have asked, so I'll post here as soon as I 
> finish cleaning it up. I'll put it on Github when it's ready. I just need a 
> day or two. 
> 
> Sent from my iPhone
> 
>> On Jan 13, 2016, at 6:43 AM, Nick Sayer via time-nuts  
>> wrote:
>> 
>> If anyone is interested in the equivalent functionality using an ATTiny25 
>> (for instance, if you’re already heavily invested in AVR instead of PIC, 
>> like I am), ping me. I’ve privately written code to solve almost the same 
>> problem and it could easily be adapted into doing the same job.
>> 
>>> On Jan 13, 2016, at 5:23 AM, Edesio Costa e Silva  
>>> wrote:
>>> 
>>> Hi!
>>> 
>>> Try TVB's picDiv at http://www.leapsecond.com/pic/picdiv.htm
>>> 
>>> Edésio
>>> 
 On Wed, Jan 13, 2016 at 09:22:09AM +, Jerome Blaha wrote:
 Hey Guys,
 
 Is there an easy circuit to build that can consistently deliver a 1 PPS 
 from a 10MHz source with excellent resolution and repeatability?  My first 
 application is to test different 10MHz oscillators without a TIC always 
 attached and then compare the PPS output change over time against a master 
 GPSDO PPS with an HP53132A.
 
 The circuit used for PPS generation would have to deliver consistent PPS 
 output with preferably not more than 100ps noise or jitter, assuming a 
 perfect source.  I'm totally guessing that for this resolution, the PPS 
 would have to be generated and accurate to within 0.001Hz every second.  
 If this is too difficult, maybe the integration time can be increased to 
 generate one pulse every 10second or every 100,000,000.00 cycles?
 
 Finally, is a square 10Mhz reference any better in this case than a 
 sinusoidal input for generating the PPS?
 
 Thanks,
 Jerome
 
 ___
 time-nuts mailing list -- time-nuts@febo.com
 To unsubscribe, go to 
 https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
 and follow the instructions there.
>>> ___
>>> time-nuts mailing list -- time-nuts@febo.com
>>> To unsubscribe, go to 
>>> https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
>>> and follow the instructions there.
>> 
>> ___
>> time-nuts mailing list -- time-nuts@febo.com
>> To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
>> and follow the instructions there.

___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-14 Thread Bob Camp
Hi

The way pretty much all of these work is to take the “10 MHz” in on the clock 
input port. 
The critical spec is the upper frequency for an external clock input. With some 
chips this
is in the vicinity of 50 MHz. On others it tops out at 4 MHz.

The next step after wiring it up is to check the jitter on the resulting 
output. It would be 
nice if all input circuits were designed equally well. There is evidence out 
there that this
is not the case…..In some cases the performance can be improved by feeding the 
MCU
input with a high slew rate signal rather than a sine wave. About all that 
takes is a single
gate. 

Bob


> On Jan 13, 2016, at 11:11 PM, Bryan _  wrote:
> 
> Nick, can the Attiny25 divide down a 20Mhz input. I understand the 12fxxx 
> pics max out at 20Mhz on a input so not sure if they would be suitable for my 
> purpose. Can't seem to find anything in the datasheet for the tiny that 
> explains the maximum frequency on a input pin.
> 
> -=Bryan=-
> 
>> Date: Wed, 13 Jan 2016 12:12:39 -0800
>> To: nsa...@kfu.com; time-nuts@febo.com
>> Subject: Re: [time-nuts] Generating a solid PPS from 10Mhz source
>> From: time-nuts@febo.com
>> 
>> Just shy of a half dozen folks have asked, so I'll post here as soon as I 
>> finish cleaning it up. I'll put it on Github when it's ready. I just need a 
>> day or two. 
>> 
>> Sent from my iPhone
>> 
>>> On Jan 13, 2016, at 6:43 AM, Nick Sayer via time-nuts  
>>> wrote:
>>> 
>>> If anyone is interested in the equivalent functionality using an ATTiny25 
>>> (for instance, if you’re already heavily invested in AVR instead of PIC, 
>>> like I am), ping me. I’ve privately written code to solve almost the same 
>>> problem and it could easily be adapted into doing the same job.
>>> 
 On Jan 13, 2016, at 5:23 AM, Edesio Costa e Silva 
  wrote:
 
 Hi!
 
 Try TVB's picDiv at http://www.leapsecond.com/pic/picdiv.htm
 
 Edésio
 
> On Wed, Jan 13, 2016 at 09:22:09AM +, Jerome Blaha wrote:
> Hey Guys,
> 
> Is there an easy circuit to build that can consistently deliver a 1 PPS 
> from a 10MHz source with excellent resolution and repeatability?  My 
> first application is to test different 10MHz oscillators without a TIC 
> always attached and then compare the PPS output change over time against 
> a master GPSDO PPS with an HP53132A.
> 
> The circuit used for PPS generation would have to deliver consistent PPS 
> output with preferably not more than 100ps noise or jitter, assuming a 
> perfect source.  I'm totally guessing that for this resolution, the PPS 
> would have to be generated and accurate to within 0.001Hz every second.  
> If this is too difficult, maybe the integration time can be increased to 
> generate one pulse every 10second or every 100,000,000.00 cycles?
> 
> Finally, is a square 10Mhz reference any better in this case than a 
> sinusoidal input for generating the PPS?
> 
> Thanks,
> Jerome
> 
> ___
> time-nuts mailing list -- time-nuts@febo.com
> To unsubscribe, go to 
> https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> and follow the instructions there.
 ___
 time-nuts mailing list -- time-nuts@febo.com
 To unsubscribe, go to 
 https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
 and follow the instructions there.
>>> 
>>> ___
>>> time-nuts mailing list -- time-nuts@febo.com
>>> To unsubscribe, go to 
>>> https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
>>> and follow the instructions there.
>> ___
>> time-nuts mailing list -- time-nuts@febo.com
>> To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
>> and follow the instructions there.
> 
> ___
> time-nuts mailing list -- time-nuts@febo.com
> To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> and follow the instructions there.

___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-14 Thread Vlad



I was thinking to make a frequency divider by using FPGA. Here is my 
attempt to implement it using VHDL.
This is frequency divder plus D flip-flop which I was planed to use as 
source of 60Hz for my Telechron clock.
However I never implement it in HW. Instead I was using STM32F4 with its 
timers.
The purpose was to divide 9.8304 Mhz OCXO output by 81920 to get 60Hz 
and use the D flip-flop to keep output in sync.

Some day I'll return to this with my soldering iron in hands. ;-)

--
-- Company:
-- Engineer: V.P.
--
-- Create Date:17:58:43 11/09/2015
-- Design Name:
-- Module Name:freq_div - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity freq_div is
Port ( clk_in : in  STD_LOGIC;
   rst : in  STD_LOGIC;
   clk_out : out  STD_LOGIC);
end freq_div;

architecture Behavioral1 of freq_div is

signal prescaler : integer range 0 to 81919 :=0;
signal clk_out_i : std_logic;

begin

gen_clk : process (clk_in, rst)
begin  -- process gen_clk
if rst = '1' then
clk_out_i   <= '0';
prescaler   <= 0;
elsif rising_edge(clk_in) then   -- rising clock edge
if (prescaler = 81919) then
prescaler   <= 0;
clk_out_i   <= not clk_out_i;
else
prescaler <= prescaler + 1;
end if;
end if;
end process gen_clk;

clk_out <= clk_out_i;

end Behavioral1;

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity d_ff is
Port ( d_clk_in : in  STD_LOGIC;
  d_rst : in STD_LOGIC;
   D : in  STD_LOGIC;
  Q : out  STD_LOGIC
);
end d_ff;

architecture Behavioral2 of d_ff is

begin

d_ff_clk : process (d_clk_in, d_rst, D)
begin  -- process d_ff_clk

		if ( rising_edge(d_clk_in) ) then  --This makes the process 
synchronous(with clock)

if(d_rst = '1') then
Q <= '0';
else
Q <= D;
end if;
end if;
end process;  --end of process statement.

end Behavioral2;


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.all;

entity Z1 is
Port ( z1in : in  STD_LOGIC;-- clk_in
z2in : in  STD_LOGIC;   -- reset
   z1out : out  STD_LOGIC   -- Signal Out

 );
end Z1;


architecture SOut of Z1 is

component freq_div is
Port ( clk_in : in  STD_LOGIC;
   rst : in  STD_LOGIC;
   clk_out : out  STD_LOGIC
);
end component;

component d_ff is
Port ( d_clk_in : in  STD_LOGIC;
  d_rst : in STD_LOGIC;
   D : in  STD_LOGIC;
  Q : out  STD_LOGIC
);
end component;

signal wire: std_logic; -- put signal to "wire" or use it as a "wire"

begin

u0:
freq_div
port map (
clk_in => z1in,
rst => z2in,
clk_out => wire
);
u1:
d_ff
port map (
 d_clk_in => z1in,
d_rst => z2in,
 D => wire,
Q => z1out
);

end SOut;



On 2016-01-13 21:35, Nick Sayer via time-nuts wrote:

The code is at

https://github.com/nsayer/GPS-disciplined-OXCO/blob/master/tiny_divider.c

It’s a first cut. The code at the moment will just divide the input
clock by 10 million, so you get a 1 PPS 50% duty square wave out. It
should run on any ATTinyx5 model - it certainly will fit on at
ATTiny25 if you wish.

I’ve not exhaustively tested it yet. I need to feed it into my TIA
to make sure it’s exactly 1 Hz - it’s conceivable I’ve committed
a fencepost error that would make it off enough that my scope can’t
tell (my TIA is busy at the moment).

I believe the code won’t do the math properly below 10 MHz. You’d
need to select the next lower prescale setting and change a couple of
the formulae, but I don’t foresee an issue with doing so.

I’ll come back with an exhaustive test report (and any bug fixes)
when I get my TIA 

[time-nuts] Timelab, two SR620s and losing samples

2016-01-14 Thread Attila Kinali
Moin,

I have here a setup with four (FPGA) nodes that produce synchronized pulses
with a 20kHz rate. I have two SR620s two measure those pulses.

Because the SR620s are not fast enought to capture all pulses, and because
i want them to be synchronized, I set up one of the nodes to generate an
additional pulse every 100ms (10Hz rate) 20us before the "main" pulse,
and feed that to the two EXT trigger inputs of the SR620s.

The two SR620s are both connected to an FS725 Rb frequency standard
(mostly because we have them around and nobody else uses them :-)

Now, I use Timelab (running on Windows XP, in a virtualbox on a linux system),
using two serial-to-USB converters (FT232), which are passed as raw USB
devices into windows. Capturing both SR620s together in timelab, I see
one of the SR620s "producing" less samples than the other.
Quite considerably less (it looks like 1-3% less or so).

I have already checked and rechecked the trigger settings, the trigger
voltages etc, but I cannot find why one produces less samples than the other.
I have changed cables, swapped nodes. But it's still the same SR620 that
loses samples.

Another curious thing I see that I couldn't make sense of is, that from
time to time, on both of the SR620s, I see time differences of 1s (yes,
one full second). Given that the FPGAs produce a pulse every 50us, this
shouldn't be possible, unless the crystal oscillator stops.


Any idea what I might have done wrong or what the cause is?

Attila Kinali


-- 
It is upon moral qualities that a society is ultimately founded. All 
the prosperity and technological sophistication in the world is of no 
use without that foundation.
 -- Miss Matheson, The Diamond Age, Neil Stephenson
___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


Re: [time-nuts] New Member + Basic Questions

2016-01-14 Thread paul swed
I have several here. Mine are the 8660c. They are work horses but god awful
heavy. It really depends on what modules are plugged in. You have the
modulation module and the output module. The basic output was up to 110 Mhz.
There are 2 other modules 1.3GHZ and 2.5 GHZ. Neither will work without the
internal optional frequency extension and that was an option thats not
obvious.
If broken then extending things are difficult since no one has the extender
kits.
Its worth the effort to get it working if its not crazy expensive. At the
cost you have it for not a thing to loose accept time.
Good luck.
Regards
Paul
WB8TSL

On Thu, Jan 14, 2016 at 3:35 PM, Nathan Johnson  wrote:

> What does the group think of the HP 8660? Just scored a broken one too
> cheap to
> pass up. I know it's not gonna be the last signal generator I buy, but for
> under
> $100 shipped it should be an interesting project.
> Nathan KK4REY
>
> Sent using CloudMagic Email
> [
> https://cloudmagic.com/k/d/mailapp?ct=pi=7.4.15=9.1=email_footer_2
> ]
> On Tue, Jan 12, 2016 at 02:50, Discussion of precise time and frequency
> measurement  wrote:
> Robs correct on that front. Did not have time to respond till now.
> The 8640 is not some sort of synthesized gen.
> But it has one of the lowest noise floors of any generator.
> So I have several of them and then the synthesized gens like those
> mentioned.
>
> Hear that sucking sound?
> Its quicksand.
>
> Regards
> Paul
> WB8TSL
>
> On Mon, Jan 11, 2016 at 3:54 PM, Rob Sherwood.  wrote:
>
> > It is more of a counter-assisted drift stabilizer than a true phase lock
> > as would happen if locking a 10811 to an external standard. Rob, NC0B
> >
> > Sent from my iPad
> >
> > > On Jan 11, 2016, at 1:00 PM, "bownes"  wrote:
> > >
> > >
> > >
> > >
> > > The 8640 will lock to an external 5Mhz reference. That's what the BNC
> in
> > the heatsink is for. At least that is where it is on mine.
> > >
> > > The trick is doing a good divide by two.
> > >
> > > However, that said, the 8640 tops out at ~1024MHz, which if you get
> > interested in even the lower microwaves, is not quite enough.
> > >
> > >
> > >
> > > Bob
> > > KI2L
> > >
> > >> On Jan 11, 2016, at 09:47, Rob Sherwood.  wrote:
> > >>
> > >> The HP 8647A may be the worst signal generator HP ever made. The 8656B
> > won't even go down low enough in level to make a noise floor measurement
> on
> > a modern transceiver. Sure you can add external attenuation, but you
> won't
> > know about how much leakage is occurring. Otherwise why wouldn't HP have
> > added another 15 dB attenuation in the box? That is why I mentioned the
> > 8657B. Yes the 8662A's reliability issue is the power supply. No question
> > not a starter generator. The 8642A was never intended for field repair,
> > but it is the only generator with low enough phase noise to test top
> radios
> > today, and something a ham could afford. Again, not the first sig gen
> that
> > should be on your list
> > >>
> > >> Rob, NC0B
> > >> Sent from my iPad
> > >>
> > >>> On Jan 11, 2016, at 8:01 AM, "Nathan Johnson" 
> > wrote:
> > >>>
> > >>>
> > >>>
> > >>> I really appreciate all the help. I really like that Ref0
> combination,
> > I don't
> > >>> see any Ref1s available right now, but you are saying that any decent
> > >>> GPS+Arduino can substitute? Should I be looking in the archives for
> > that, or is
> > >>> there a website?
> > >>> Minor clarification to my earlier post about the signal gen, I am
> > aware that the
> > >>> 8640 won't lock to an external reference. I had intended that to read
> > >>> 8640-something or 50-something. I'm watching an 8647 and an 8656b on
> > the usual
> > >>> site at the moment. That 8662 looks beautiful, but it's a huge
> > investment for a
> > >>> piece of old gear that has a reputation for being a bit... Cranky and
> > >>> opinionated. I have no practical need for that now, so I won't sign
> up
> > for that
> > >>> kind of challenge until I do.
> > >>> I'm quite familiar with how this stuff multiplies, I have a Tektronix
> > scope
> > >>> collection, and have been a lurker on the TekScopes list for about a
> > year. There
> > >>> is a running joke on that list about "scope acquisition disorder".
> I'm
> > pretty
> > >>> sure that I'm infected, but I only have 5 scopes in the house at the
> > moment, so
> > >>> it's not that bad... Yet!
> > >>>
> > >>> Nathan KK4REY
> > >>> Sent using CloudMagic Email
> > >>> [
> >
>
> https://cloudmagic.com/k/d/mailapp?ct=pi=7.4.15=9.1=email_footer_2
> > ]
> > >>> On Mon, Jan 11, 2016 at 04:28, Discussion of precise time and
> frequency
> > >>> measurement  wrote:
> > >>> Good thread everyone.
> > >>> Nathan you have received a lot of wisdom and humor today.
> > >>> Yes for sub $200 you can be in good shape.
> > >>> If lucent remember a Ref0 needs an arduino and a good GPS 1 PPS.
> > >>> Though frankly even 

Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-14 Thread cfo
On Wed, 13 Jan 2016 14:31:25 +0100, Ole Petter Ronningen wrote:

> Sounds like a PICDIV is just about right:
> http://www.leapsecond.com/pic/picdiv.htm
> 

Ulrich B made an AVRDIV, for those who use AVR's (bottom of page)

http://www.ulrich-bangert.de/html/downloads.html


/CFO

___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-14 Thread Robert Darby
I and others have written divider routines in assembler for the ATtiny25 
etc.  The version I have is crude but divides by 10, 100, and 1000.  I 
also have a version that divides by 1E4, 1E5, and 1E6.  I also have a 
version that generates 3 pps outputs, one with a 50% duty cycle plus 
positive and negative short duration pulses.


All three sync up to the 10 MHz clock using a pps input.  The sync takes 
about 2 to 3 s, is within several clock cycles (adjustable) but always 
has one clock cycle  uncertainty.  Basically we look for an interrupt on 
the pps input and if one occurs we poll the pin to find the next one.  
This leads to the one cycle uncertainty.  I tried interrupts but had 
more variance than polling - ymmv. If there is no pps interrupt the wdt 
times out and we jump into the main loop.


I'm working from memory but I seem to recall there's several ns 
variation between the three outputs and they change about 14 to 16 ns 
after the clock.


I'm happy to email the assembler code to anyone who wants to start from 
it.  Its crude and will need testing/tweeking.  I have several chips in 
use that work well but I just changed a few things and have not burned 
and tested the changes in a circuit.


Drop me a line if you want the sources.

bob



On 1/14/2016 7:20 AM, Daniel Watson wrote:

I'm curious if that code will perform the intended function (down to the clock 
cycle) when compiled. A check in the simulator would be a good idea while the 
TIA is busy.

If it doesn't give you the performance you are looking for, try programming it 
in assembly, as was done for the PicDiv.

Dan


On Jan 13, 2016, at 9:35 PM, Nick Sayer via time-nuts  
wrote:

The code is at

https://github.com/nsayer/GPS-disciplined-OXCO/blob/master/tiny_divider.c

It’s a first cut. The code at the moment will just divide the input clock by 10 
million, so you get a 1 PPS 50% duty square wave out. It should run on any 
ATTinyx5 model - it certainly will fit on at ATTiny25 if you wish.

I’ve not exhaustively tested it yet. I need to feed it into my TIA to make sure 
it’s exactly 1 Hz - it’s conceivable I’ve committed a fencepost error that 
would make it off enough that my scope can’t tell (my TIA is busy at the 
moment).

I believe the code won’t do the math properly below 10 MHz. You’d need to 
select the next lower prescale setting and change a couple of the formulae, but 
I don’t foresee an issue with doing so.

I’ll come back with an exhaustive test report (and any bug fixes) when I get my 
TIA back from GPSDO ADEV duty. :)


On Jan 13, 2016, at 12:12 PM, Nick Sayer  wrote:

Just shy of a half dozen folks have asked, so I'll post here as soon as I 
finish cleaning it up. I'll put it on Github when it's ready. I just need a day 
or two.

Sent from my iPhone


On Jan 13, 2016, at 6:43 AM, Nick Sayer via time-nuts  
wrote:

If anyone is interested in the equivalent functionality using an ATTiny25 (for 
instance, if you’re already heavily invested in AVR instead of PIC, like I am), 
ping me. I’ve privately written code to solve almost the same problem and it 
could easily be adapted into doing the same job.


On Jan 13, 2016, at 5:23 AM, Edesio Costa e Silva  
wrote:

Hi!

Try TVB's picDiv at http://www.leapsecond.com/pic/picdiv.htm

Edésio


On Wed, Jan 13, 2016 at 09:22:09AM +, Jerome Blaha wrote:
Hey Guys,

Is there an easy circuit to build that can consistently deliver a 1 PPS from a 
10MHz source with excellent resolution and repeatability?  My first application 
is to test different 10MHz oscillators without a TIC always attached and then 
compare the PPS output change over time against a master GPSDO PPS with an 
HP53132A.

The circuit used for PPS generation would have to deliver consistent PPS output 
with preferably not more than 100ps noise or jitter, assuming a perfect source. 
 I'm totally guessing that for this resolution, the PPS would have to be 
generated and accurate to within 0.001Hz every second.  If this is too 
difficult, maybe the integration time can be increased to generate one pulse 
every 10second or every 100,000,000.00 cycles?

Finally, is a square 10Mhz reference any better in this case than a sinusoidal 
input for generating the PPS?

Thanks,
Jerome

___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.

___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.

___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.

___

Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-14 Thread Vlad


Following my previous note: today I did create simple test installation 
using CPLD Xilinx XC2C32A. The project just get input from 50Mhz OSC and 
divide it to get 1Hz output to send it to two LEDs and defined clk_out 
pin. As I program it - the LEDs was blinking with 1Hz frequency. Which 
means its working somehow. ;-)


To simplify it - I was not using D FF at this time (see attached VHDL).


The interesting thing was to look to the report. It said Xilinx CPLD 
needs considerable amount of time to deliver the signal to its "ports" :



Constraint: AUTO_TS_F2F
Description: MAXDELAY:FROM:FFS(*):TO:FFS(*):0.000 nS

 PathRequirement (ns) Delay (ns) Slack (ns)
 clk_out.Q to LED1.D 0.000 3.300 -3.300
 clk_out.Q to LED2.D 0.000 3.300 -3.300
 prescaler<0>.Q to prescaler<12>.D 0.000 3.300 -3.300



Constraint: AUTO_TS_P2P
Description: MAXDELAY:FROM:PADS(*):TO:PADS(*):0.000 nS

 Path   Requirement (ns) Delay (ns) Slack (ns)
 clk_in to LED1 0.000 3.700 -3.700
 clk_in to LED2 0.000 3.700 -3.700
 clk_in to clk_out 0.000 3.700 -3.700



Constraint: AUTO_TS_P2F
Description: MAXDELAY:FROM:PADS(*):TO:FFS(*):0.000 nS

 Path Requirement (ns) Delay (ns) Slack (ns)
 clk_in to clk_in.GCK 0.000 1.300 -1.300


Constraint: AUTO_TS_F2P
Description: MAXDELAY:FROM:FFS(*):TO:PADS(*):0.000 nS

 Path   Requirement (ns) Delay (ns) Slack (ns)
 LED1.Q to LED1 0.000 2.400 -2.400
 LED2.Q to LED2 0.000 2.400 -2.400
 clk_out.Q to clk_out 0.000 2.400 -2.400

So, as far I understood, some delays would be expecting. I didn't 
measure jitter or anything else yet. But looking to those nanoseconds, 
it seems PIC doing better job despite its whole MCU (not just simple 
plain CPLD).



===

--
-- Company:
-- Engineer:
--
-- Create Date:11:20:17 01/14/2016
-- Design Name:
-- Module Name:pps - Behavioral
-- Project Name:
-- Target Devices:  Xilinx XC2C32A
-- Tool versions: Xilinx ISE
-- Description: Simple frequency divider (divide 50Hz clk, connected to 
P1 to 1Hz signal with outputs on P31, P32 and P33

--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;

use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity pps is
Port ( clk_in : in  STD_LOGIC;
   clk_out : out  STD_LOGIC;
   LED1 : out  STD_LOGIC;
   LED2 : out  STD_LOGIC);
end pps;

architecture Behavioral of pps is

signal prescaler : integer range 0 to 4999 :=0;
signal clk_out_i : std_logic;

begin

gen_clk : process (clk_in)
begin  -- process gen_clk
if rising_edge(clk_in) then   -- rising clock edge
if (prescaler = 4999) then
prescaler   <= 0;
clk_out_i   <= not clk_out_i;
else
prescaler <= prescaler + 1;
end if;
end if;
end process gen_clk;

clk_out <= clk_out_i;
LED1 <= clk_out_i;
LED2 <= clk_out_i;

end Behavioral;



--
WBW,

V.P.
___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-14 Thread Nick Sayer via time-nuts

> On Jan 14, 2016, at 4:20 AM, Daniel Watson  wrote:
> 
> I'm curious if that code will perform the intended function (down to the 
> clock cycle) when compiled. A check in the simulator would be a good idea 
> while the TIA is busy.

I did a test last night (I accidentally bonked the device I was testing and it 
sort of went nuts, so I had to start it over) and found and fixed a fencepost 
bug. After that, it was accurate down to the limits of my TIA (something like 
the 10s of ps range). My test methodology was to feed 10 MHz from one of my 
GPSDOs into the TIA reference and the same 10 MHz into the device input. I then 
had the TIA perform period measurements with a 10 second gate. The result was 1 
second, ± maybe a dozen or two ps on average, but again, that’s where the 
limits of my TIA (Keysight 53220A) lie.

> 
> If it doesn’t give you the performance you are looking for, try programming 
> it in assembly, as was done for the PicDiv.

The whole point of using the timer subsystem the way I am is so that you don’t 
have to care about the instruction timings. As long as the code is quick enough 
not to overrun any interrupts, it’s all good. And by using the timer prescaler, 
you have pretty good assurance that that’s the case.

> 
> Dan
> 
>> On Jan 13, 2016, at 9:35 PM, Nick Sayer via time-nuts  
>> wrote:
>> 
>> The code is at
>> 
>> https://github.com/nsayer/GPS-disciplined-OXCO/blob/master/tiny_divider.c
>> 
>> It’s a first cut. The code at the moment will just divide the input clock by 
>> 10 million, so you get a 1 PPS 50% duty square wave out. It should run on 
>> any ATTinyx5 model - it certainly will fit on at ATTiny25 if you wish.
>> 
>> I’ve not exhaustively tested it yet. I need to feed it into my TIA to make 
>> sure it’s exactly 1 Hz - it’s conceivable I’ve committed a fencepost error 
>> that would make it off enough that my scope can’t tell (my TIA is busy at 
>> the moment).
>> 
>> I believe the code won’t do the math properly below 10 MHz. You’d need to 
>> select the next lower prescale setting and change a couple of the formulae, 
>> but I don’t foresee an issue with doing so.
>> 
>> I’ll come back with an exhaustive test report (and any bug fixes) when I get 
>> my TIA back from GPSDO ADEV duty. :)
>> 
>>> On Jan 13, 2016, at 12:12 PM, Nick Sayer  wrote:
>>> 
>>> Just shy of a half dozen folks have asked, so I'll post here as soon as I 
>>> finish cleaning it up. I'll put it on Github when it's ready. I just need a 
>>> day or two. 
>>> 
>>> Sent from my iPhone
>>> 
 On Jan 13, 2016, at 6:43 AM, Nick Sayer via time-nuts  
 wrote:
 
 If anyone is interested in the equivalent functionality using an ATTiny25 
 (for instance, if you’re already heavily invested in AVR instead of PIC, 
 like I am), ping me. I’ve privately written code to solve almost the same 
 problem and it could easily be adapted into doing the same job.
 
> On Jan 13, 2016, at 5:23 AM, Edesio Costa e Silva 
>  wrote:
> 
> Hi!
> 
> Try TVB's picDiv at http://www.leapsecond.com/pic/picdiv.htm
> 
> Edésio
> 
>> On Wed, Jan 13, 2016 at 09:22:09AM +, Jerome Blaha wrote:
>> Hey Guys,
>> 
>> Is there an easy circuit to build that can consistently deliver a 1 PPS 
>> from a 10MHz source with excellent resolution and repeatability?  My 
>> first application is to test different 10MHz oscillators without a TIC 
>> always attached and then compare the PPS output change over time against 
>> a master GPSDO PPS with an HP53132A.
>> 
>> The circuit used for PPS generation would have to deliver consistent PPS 
>> output with preferably not more than 100ps noise or jitter, assuming a 
>> perfect source.  I'm totally guessing that for this resolution, the PPS 
>> would have to be generated and accurate to within 0.001Hz every second.  
>> If this is too difficult, maybe the integration time can be increased to 
>> generate one pulse every 10second or every 100,000,000.00 cycles?
>> 
>> Finally, is a square 10Mhz reference any better in this case than a 
>> sinusoidal input for generating the PPS?
>> 
>> Thanks,
>> Jerome
>> 
>> ___
>> time-nuts mailing list -- time-nuts@febo.com
>> To unsubscribe, go to 
>> https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
>> and follow the instructions there.
> ___
> time-nuts mailing list -- time-nuts@febo.com
> To unsubscribe, go to 
> https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> and follow the instructions there.
 
 ___
 time-nuts mailing list -- time-nuts@febo.com
 To unsubscribe, go to 
 

Re: [time-nuts] New Member + Basic Questions

2016-01-14 Thread Nathan Johnson
What does the group think of the HP 8660? Just scored a broken one too cheap to
pass up. I know it's not gonna be the last signal generator I buy, but for under
$100 shipped it should be an interesting project.
Nathan KK4REY

Sent using CloudMagic Email
[https://cloudmagic.com/k/d/mailapp?ct=pi=7.4.15=9.1=email_footer_2]
On Tue, Jan 12, 2016 at 02:50, Discussion of precise time and frequency
measurement  wrote:
Robs correct on that front. Did not have time to respond till now.
The 8640 is not some sort of synthesized gen.
But it has one of the lowest noise floors of any generator.
So I have several of them and then the synthesized gens like those
mentioned.

Hear that sucking sound?
Its quicksand.

Regards
Paul
WB8TSL

On Mon, Jan 11, 2016 at 3:54 PM, Rob Sherwood.  wrote:

> It is more of a counter-assisted drift stabilizer than a true phase lock
> as would happen if locking a 10811 to an external standard. Rob, NC0B
>
> Sent from my iPad
>
> > On Jan 11, 2016, at 1:00 PM, "bownes"  wrote:
> >
> >
> >
> >
> > The 8640 will lock to an external 5Mhz reference. That's what the BNC in
> the heatsink is for. At least that is where it is on mine.
> >
> > The trick is doing a good divide by two.
> >
> > However, that said, the 8640 tops out at ~1024MHz, which if you get
> interested in even the lower microwaves, is not quite enough.
> >
> >
> >
> > Bob
> > KI2L
> >
> >> On Jan 11, 2016, at 09:47, Rob Sherwood.  wrote:
> >>
> >> The HP 8647A may be the worst signal generator HP ever made. The 8656B
> won't even go down low enough in level to make a noise floor measurement on
> a modern transceiver. Sure you can add external attenuation, but you won't
> know about how much leakage is occurring. Otherwise why wouldn't HP have
> added another 15 dB attenuation in the box? That is why I mentioned the
> 8657B. Yes the 8662A's reliability issue is the power supply. No question
> not a starter generator. The 8642A was never intended for field repair,
> but it is the only generator with low enough phase noise to test top radios
> today, and something a ham could afford. Again, not the first sig gen that
> should be on your list
> >>
> >> Rob, NC0B
> >> Sent from my iPad
> >>
> >>> On Jan 11, 2016, at 8:01 AM, "Nathan Johnson" 
> wrote:
> >>>
> >>>
> >>>
> >>> I really appreciate all the help. I really like that Ref0 combination,
> I don't
> >>> see any Ref1s available right now, but you are saying that any decent
> >>> GPS+Arduino can substitute? Should I be looking in the archives for
> that, or is
> >>> there a website?
> >>> Minor clarification to my earlier post about the signal gen, I am
> aware that the
> >>> 8640 won't lock to an external reference. I had intended that to read
> >>> 8640-something or 50-something. I'm watching an 8647 and an 8656b on
> the usual
> >>> site at the moment. That 8662 looks beautiful, but it's a huge
> investment for a
> >>> piece of old gear that has a reputation for being a bit... Cranky and
> >>> opinionated. I have no practical need for that now, so I won't sign up
> for that
> >>> kind of challenge until I do.
> >>> I'm quite familiar with how this stuff multiplies, I have a Tektronix
> scope
> >>> collection, and have been a lurker on the TekScopes list for about a
> year. There
> >>> is a running joke on that list about "scope acquisition disorder". I'm
> pretty
> >>> sure that I'm infected, but I only have 5 scopes in the house at the
> moment, so
> >>> it's not that bad... Yet!
> >>>
> >>> Nathan KK4REY
> >>> Sent using CloudMagic Email
> >>> [
>
https://cloudmagic.com/k/d/mailapp?ct=pi=7.4.15=9.1=email_footer_2
> ]
> >>> On Mon, Jan 11, 2016 at 04:28, Discussion of precise time and frequency
> >>> measurement  wrote:
> >>> Good thread everyone.
> >>> Nathan you have received a lot of wisdom and humor today.
> >>> Yes for sub $200 you can be in good shape.
> >>> If lucent remember a Ref0 needs an arduino and a good GPS 1 PPS.
> >>> Though frankly even neo6s play well.
> >>> If a Ref1 it has a GPS in and no need for the arduino. The $175 gets
> you a
> >>> ref1 and ref0 combo that tie together usually with a cable thats
> shipped
> >>> with the units.
> >>> Mine were brand spanking new. NOS.
> >>> Good luck.
> >>> To Ron o yes no shed or anything but the gear builds up. Darn
> thing is
> >>> this stuff actually last longer then an iPhone99X due out tomorrow I am
> >>> sure.
> >>> Paul
> >>> WB8TSL
> >>>
>  On Sun, Jan 10, 2016 at 4:31 PM, Rob Sherwood.  wrote:
> 
>  Paul,
> 
>  Your last paragraph was a hoot. A ham friend of mine recently rented a
>  storage shed to keep all his spare test equipment and parts units.
> Another
>  ham friend used to have four storage units to store all his "stuff".
> The
>  disease is not curable with either time or antibiotics.
> 
>  My XYL will have to deal with two homes with 

Re: [time-nuts] Generating a solid PPS from 10Mhz source

2016-01-14 Thread Hal Murray

> My test methodology was to feed 10 MHz from one of my GPSDOs into the TIA
> reference and the same 10 MHz into the device input. I then had the TIA
> perform period measurements with a 10 second gate. The result was 1 second,
> ± maybe a dozen or two ps on average, but again, that’s where the limits of
> my TIA (Keysight 53220A) lie. 

Another approach is to use the delay option on a scope.  Trigger on your PPS, 
then look at the next one.

You probably need to do a sanity check on the scope (aka crudely calibrate) 
by looking at a known-good PPS.

-- 
These are my opinions.  I hate spam.



___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


Re: [time-nuts] New Member + Basic Questions

2016-01-14 Thread Rob Sherwood .
The 8660 is a rather messy generator with five loops.  Its phase noise spec 
within a 30 kHz bandwidth is nominally 4 dB worse than an HP 3336C. Of course 
the frequency range of the 8660 is vastly greater than the 3336C, depending on 
the plugin. There were 4 versions of the 8660, A, B, C & D. I mention the 3336C 
because while a very handy synthesizer, its phase noise is terrible compared to 
the 8640A/B. It depends on what you want to do with your generator. As you 
said, if it can be purchased and shipped for $100, why not. Alignment of the 
8660 is a pain, so expect a project. Hopefully it gets packed properly. 
Shipping damage of large and heavy test equipment is a really big problem.

Rob, NC0B

Sent from my iPad

> On Jan 14, 2016, at 4:02 PM, "Nathan Johnson"  wrote:
> 
> 
> 
> What does the group think of the HP 8660? Just scored a broken one too cheap 
> to
> pass up. I know it's not gonna be the last signal generator I buy, but for 
> under
> $100 shipped it should be an interesting project.
> Nathan KK4REY
> 
> Sent using CloudMagic Email
> [https://cloudmagic.com/k/d/mailapp?ct=pi=7.4.15=9.1=email_footer_2]
> On Tue, Jan 12, 2016 at 02:50, Discussion of precise time and frequency
> measurement  wrote:
> Robs correct on that front. Did not have time to respond till now.
> The 8640 is not some sort of synthesized gen.
> But it has one of the lowest noise floors of any generator.
> So I have several of them and then the synthesized gens like those
> mentioned.
> 
> Hear that sucking sound?
> Its quicksand.
> 
> Regards
> Paul
> WB8TSL
> 
>> On Mon, Jan 11, 2016 at 3:54 PM, Rob Sherwood.  wrote:
>> 
>> It is more of a counter-assisted drift stabilizer than a true phase lock
>> as would happen if locking a 10811 to an external standard. Rob, NC0B
>> 
>> Sent from my iPad
>> 
>>> On Jan 11, 2016, at 1:00 PM, "bownes"  wrote:
>>> 
>>> 
>>> 
>>> 
>>> The 8640 will lock to an external 5Mhz reference. That's what the BNC in
>> the heatsink is for. At least that is where it is on mine.
>>> 
>>> The trick is doing a good divide by two.
>>> 
>>> However, that said, the 8640 tops out at ~1024MHz, which if you get
>> interested in even the lower microwaves, is not quite enough.
>>> 
>>> 
>>> 
>>> Bob
>>> KI2L
>>> 
 On Jan 11, 2016, at 09:47, Rob Sherwood.  wrote:
 
 The HP 8647A may be the worst signal generator HP ever made. The 8656B
>> won't even go down low enough in level to make a noise floor measurement on
>> a modern transceiver. Sure you can add external attenuation, but you won't
>> know about how much leakage is occurring. Otherwise why wouldn't HP have
>> added another 15 dB attenuation in the box? That is why I mentioned the
>> 8657B. Yes the 8662A's reliability issue is the power supply. No question
>> not a starter generator. The 8642A was never intended for field repair,
>> but it is the only generator with low enough phase noise to test top radios
>> today, and something a ham could afford. Again, not the first sig gen that
>> should be on your list
 
 Rob, NC0B
 Sent from my iPad
 
> On Jan 11, 2016, at 8:01 AM, "Nathan Johnson" 
>> wrote:
> 
> 
> 
> I really appreciate all the help. I really like that Ref0 combination,
>> I don't
> see any Ref1s available right now, but you are saying that any decent
> GPS+Arduino can substitute? Should I be looking in the archives for
>> that, or is
> there a website?
> Minor clarification to my earlier post about the signal gen, I am
>> aware that the
> 8640 won't lock to an external reference. I had intended that to read
> 8640-something or 50-something. I'm watching an 8647 and an 8656b on
>> the usual
> site at the moment. That 8662 looks beautiful, but it's a huge
>> investment for a
> piece of old gear that has a reputation for being a bit... Cranky and
> opinionated. I have no practical need for that now, so I won't sign up
>> for that
> kind of challenge until I do.
> I'm quite familiar with how this stuff multiplies, I have a Tektronix
>> scope
> collection, and have been a lurker on the TekScopes list for about a
>> year. There
> is a running joke on that list about "scope acquisition disorder". I'm
>> pretty
> sure that I'm infected, but I only have 5 scopes in the house at the
>> moment, so
> it's not that bad... Yet!
> 
> Nathan KK4REY
> Sent using CloudMagic Email
> [
> https://cloudmagic.com/k/d/mailapp?ct=pi=7.4.15=9.1=email_footer_2
>> ]
> On Mon, Jan 11, 2016 at 04:28, Discussion of precise time and frequency
> measurement  wrote:
> Good thread everyone.
> Nathan you have received a lot of wisdom and humor today.
> Yes for sub $200 you can be in good shape.
> If lucent remember a Ref0 needs an arduino and a good GPS 1 PPS.
> Though frankly even neo6s play well.

Re: [time-nuts] Lady Heather Server On Raspberry Pi 2 Model B?

2016-01-14 Thread Didier Juges
For those who want to remotely monitor their Thunderbolt, I am getting ready to 
put out a new Thunderbolt kit with WiFi.

With the WiFi option, it is a server compatible with LadyHeather in client mode 
over the net.

The prototype is working, I am ready to buy the production hardware, which 
should be available in a couple of months.

Didier KO4BB


On January 10, 2016 4:06:51 PM CST, Ben Hall  wrote:
>On 1/10/2016 1:06 PM, Mark Sims wrote:
>>> Porting the GUI client to anything else would be a fair bit of
>>> work, though.
>> Not all that much work.  There are only a few routines that would
>> need to be supplied for screen, mouse, and serial I/O.
>
>Hi Mark and all,
>
>How much *skill* is needed to do a port?  I'd love to have a Nortel 
>NTBW50AA / NTGS50AA GPSDO source (while the Z3801 continues to work, it
>
>does fail self-test), but the thought of having yet another Windows PC 
>going in the shack gives me hives.  (okay, maybe not that bad, but...)
>
>A Raspberry Pi on the other hand doesn't take up much space, has the 
>ability to be remote controlled easily, and would probably lead to me 
>purchasing one of the Nortel units.
>
>So I'd love to see a port, but given that my last programming effort
>was 
>FORTRAN 77 and Windows basic (although I'm learning C for the MSP430 at
>
>the moment) I wonder if I've got anywhere near the skills to do it...
>
>thanks much and 73,
>ben, kd5byb
>___
>time-nuts mailing list -- time-nuts@febo.com
>To unsubscribe, go to
>https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
>and follow the instructions there.

-- 
Sent from my Moto-X wireless tracker while I do other things.
___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


[time-nuts] Vectron Crystal Oscillator

2016-01-14 Thread skipp Isaham via time-nuts
Hello again to the Group, 

I was handed two small 15MHz Vectron Crystal Oscillator modules. They 
are the traditional DIP IC package layout, although only 3 pins exit what 
appear to be the 1-7 row while 4 pins are presented for the 8-14 row. 

There's not much in the way of indicated part numbers, but the date code 
is 8530. 

I can apply 5Vdc to pin 14, ground to 7 and obtain the 15 Mhz output 
from pin 3.  But there is no indication or easily found data regarding the 
supply voltage requirement. 

Would anyone with hands-on experience with these smaller Vectron Oscillator 
modules be able to confirm the typical IC DIP package operating voltage to 
be 5Vdc nominal?  And what you might expect the output impedance to be? 

thank you in advance for your reply 

Regards, 

skipp 

skipp025 at yahoo com 

___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


Re: [time-nuts] Lady Heather Server On Raspberry Pi 2 Model B?

2016-01-14 Thread Ralph Smith
What do you know, someone beat me to it, dragging up my old tboltd. I will
check this out on the Raspberry Pi over the next few days, but it should
be close to working right out of the box.

Ralph
AB4RS

> On Wed, January 13, 2016 8:30 am, Nick Sayer wrote:
>>> No, ntpd would be getting time from the serial port, not from the
>>> network socket.
>>
>> You're right. I may be wrong, but I would expect that either gapd or
>> ser2net would want to open the serial device exclusively, which would
>> spoil things.
> ...
>> You might investigate whether you could make some sort of intermediate
>> service that could be a client of gpsd and provide the listening socket
>> for LH.
>
> That is the right approach, and someone has already done that.
> If I had seen this before I completely forgot, but my old friend N5TNL
> pointed me to:
> Original code written for BSD:
> http://ralphsmith.org/~ralph/thunderbolt.tar.gz
>
> Patches for linux and info by Leigh Klotz (WA5ZNU):
> http://wa5znu.org/2011/08/tbolt/
>
> The  original announcement for the BSD version was almost 6 years ago by
> Ralph Smith:
> https://www.mail-archive.com/time-nuts@febo.com/msg26128.html
>
> The follow-up by Leigh was right at 4 years ago:
> https://www.febo.com/pipermail/time-nuts/2012-January/062566.html
>
>
> Summary is the thunderboltd service runs and communicates with the
> Thunderbolt, provides a network port for Lady Heather to connect to for
> remote display and control of the Thunderbolt, and places the time into a
> shared memory region for ntpd to pick up.
>
> It works on x86, so I'm getting ready to install an ARM compiler to see if
> it compiles cleanly for ARM.  I don't have the right RS232 level
> translator yet to connect my Thunderbolt to my ARM system (BeagleBone
> Black in my case, not RPi), so I can't check it out directly yet.
>
> --
> Chris Caudle
>
>
>
> ___
> time-nuts mailing list -- time-nuts@febo.com
> To unsubscribe, go to
> https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> and follow the instructions there.
>


___
time-nuts mailing list -- time-nuts@febo.com
To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
and follow the instructions there.


Re: [time-nuts] Lady Heather Server On Raspberry Pi 2 Model B?

2016-01-14 Thread Ralph Smith
I wrote a program called tboltd that does just that. You have the option
of having it write the time to shared memory and using NTP's SHM driver.
You can get it at http://topoatlas.com/tboltd/tboltd.gz. It compiles on
FreeBSD, not sure about Linux. tboltd allows LH to connect while it does
its thing.

Ralph
AB4RS

>
>> On Jan 12, 2016, at 4:20 PM, Chris Caudle  wrote:
>>
 On Jan 12, 2016, at 7:17 AM, Chris Caudle 
 wrote:
 Can ntpd using a Thunderbolt as a time source run cooperatively with
 LH
 accessing the same Thunderbolt over ser2net?  That seems like the best
>>
>> On Tue, January 12, 2016 4:01 pm, Nick Sayer via time-nuts wrote:
>>> I'm going to guess no, because only one thing can connect to the
>>> ser2net socket at a time.
>>
>> No, ntpd would be getting time from the serial port, not from the
>> network
>> socket.
>
> You’re right. I may be wrong, but I would expect that either gapd or
> ser2net would want to open the serial device exclusively, which would
> spoil things.
>
>>  The idea would be that ntpd was getting the clock time from the
>> serial port, but the time messages would be interleaved with whatever
>> data
>> the Thunderbolt was sending back in request to the LH commands.
>
> You might investigate whether you could make some sort of intermediate
> service that could be a client of gpsd and provide the listening socket
> for LH. If you’re fortunate, LH may be able to just connect up to gpsd
> directly. gpsd has the wherewithal to interleave client access, if I am
> not mistaken.
>
>>
>> LH would also be seeing the time messages, but it sees those anyway, so
>> I
>> think the only concern would be the behavior of ntpd when all the data
>> from LH commands is going by.  Possibly a second concern of whether ntpd
>> sends any commands to the Thunderbolt that might cause LH to be confused
>> by responses to commands LH did not send.
>>
>>> If I were going to do it, what I might do is connect up the PPS output
>>> of
>>> the tbolt to a GPIO pin of the RPi and configure that pin for the pps
>>> device and set up ntpd for that.
>>
>> You still have to get wall clock time from somewhere, PPS just
>> delineates
>> the seconds, it doesn't name the seconds.
>
> Of course. You just have ordinary ntp peers for that.
>
>> For some cases you could have ntpd get the starting time from another
>> network source and just use PPS to keep track of the seconds after that,
>> but then you would still have corner cases of knowing when leap seconds
>> occurred, maybe others.
>
> Well ntp ostensibly takes care of that too.
>
>> And of course if you relied on network access to other time servers you
>> could not operate on an isolated network.
>
> That’s true. My goal, though, was just to contribute to the ntp pool, so
> connectivity is assumed.
>
>>
>>> That way, LH can have the serial
>>> interface all to itself. I've done this with a far more ordinary GPS
>>> module to make a public stratum 1 server out of a Pi Zero for the NTP
>>> pool
>>> (ntp.kfu.com).
>>>
>>
>> How did it get the correct time set at startup?  Did it have to query
>> other network servers to set the time, then the PPS controlled the clock
>> after that?
>
> Yup.
>
>> Can it be a "stratum 1" server if it has to rely on another server to
>> get
>> the correct time when it starts up?  I guess it could if it doesn't
>> serve
>> time until it has checked with other stratum 1 servers to make sure the
>> time is correct.
>
> That’s exactly right - it doesn’t claim stratum 1 until it gets an ntp
> lock over the network (at which point it can claim stratum 2 normally),
> and then it starts to take the pps updates and claims stratum 1.
>
>>
>> Sorry, didn't mean to go off into those weeds, but that isn't the system
>> I
>> want.
>> I want a machine which can get the correct current time without
>> reference
>> to another system, which means that ntpd must get the time information
>> from somewhere, either by directly reading the serial port, or passed
>> through from gpsd which is reading the serial port, or some similar
>> setup.
>> The PPS driver would be connected directly to ntpd.
>
> The issue with the serial data stream in my case is that there’s no
> synchronization in it that’s sub-second accurate. That is, there’s no
> way to know which leading edge of which bit in the NMEA sentence is lined
> up with the start of the GPS second. And even if you get that, the serial
> driver doesn’t have any mechanism to accurately time-stamp the incoming
> characters - at least not nearly as well as the pps device. Now, that may
> not be the case with the tbolt, but with the module that that server’s
> using, trying to actually sync acceptably with gpsd is an exercise in
> futility. It’s much faster to just ignore the serial data and get synced
> initially over the network.
>
>>
>> --
>> Chris Caudle
>>
>>
>> ___
>>