Re: gEDA-user: Looking for a project

2007-04-03 Thread al davis
On Tuesday 03 April 2007 02:05, Ramakrishnan Muthukrishnan wrote: On 3/31/07, al davis [EMAIL PROTECTED] wrote: How about lab test equipment.  There is a real need for simple stuff that is too simple to market at the high prices the big instrument companies need to charge.  The kind of

Re: gEDA-user: Several PCB versions on 1 system

2007-04-03 Thread ST de Feber
Found the issue ! library-newlib = ./footprints:~/data/gaf/footprints/sdf The ./footprints: seems to be crucial ! grtz Simon ___ All New Yahoo! Mail – Tired of unwanted email come-ons? Let our SpamGuard protect

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread KURT PETERS
I really like the tabs as well. Maybe it's possible to change the font size to shrink them a little if people think they're too big? Regards, Kurt [snip] There is yet another page-navigation metaphor available to us.. the tabbed notebook, but to do this properly (as I discovered over the

Re: gEDA-user: Re: Icarus Verilog PLI example: PLI_INT32 vs static int

2007-04-03 Thread Günter Dannoritzer
Stephen Williams wrote: int is PLI_INT32 in your case. The static part is something else altogether and perhaps more germain to your problem. You don't say what's crashing, Stu's example or mine, etc., so we have very little to go on. Sorry for being so vague. I was more curious about the

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Peter Clifton
On Mon, 2007-04-02 at 23:41 -0400, Ales Hvezda wrote: [snip] I've worked out all the details yet (read: hardly fully functional), but the implementation looks fairly straightforward. The question is, are the tabs really that useful since they do sorta clutter things up. Hey, I have

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Dan McMahill
Peter Clifton wrote: On Sat, 2007-03-31 at 17:53 -0400, al davis wrote: How about .,,.. transistor curve tracer. How much do they cost? Why doesn't every college EE department have one on every bench? I think of the old 4th-year engineering project my supervisor has in his office - a

Re: gEDA-user: Looking for a project

2007-04-03 Thread Dan McMahill
al davis wrote: FM stereo generator. The broadcast ones are very expensive. You can buy one made for a lab cheap. It sort of works. A real broadcast one is simple but much more expensive. If anyone feels like building one of these, I can give some extra guidance on analog

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Felipe Balbi
Hmm... I think my question is could regard this thread... Where can I learn how to design Electronic Circuits?? Is there a good quick start guide book ? I want to design some simple 8051 applications for my studying kick-off... After that I would try to design better (more complicated)

Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread Patrick Doyle
Also, FWIW, the 3-29 snapshot crashes when I try to analyze the netlist from Stuarts TwoStageAmp example... at least it does when I try to run the version I built today. I have, perhaps, attached the netlist for your review. If it works fine for you, then I'll try rebuilding and paying more

Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread Stuart Brorson
Here's what crashes for me: $ ~/local/bin/gnucap spice.netlist.wpd gnucap plot ac v(Vout) gnucap ac dec 1Hz 1MegHz #Freq Segmentation fault 1. You need to do op before ac. I was thinking about this during my morning commute. It's fine that Gnucap wants an op performed before doing

RE: gEDA-user: Design Lab Equipment

2007-04-03 Thread David Kerber
Most of us here have spent at least 4 years studying that! Any basic EE circuits textbook will get you started, but it's not nearly as easy as getting started with programming. -Original Message- From: [EMAIL PROTECTED] [mailto:[EMAIL PROTECTED] On Behalf Of Felipe Balbi Sent:

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread John Doty
On Apr 3, 2007, at 7:03 AM, Felipe Balbi wrote: Hmm... I think my question is could regard this thread... Where can I learn how to design Electronic Circuits?? Is there a good quick start guide book ? Horowitz and Hill, The Art of Electronics. Best ever. I want to design some simple 8051

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Felipe Balbi
Hmm... Nice... Actually, I'm Engineering studying... so.. that's my 4-year studying... But, I want to get it started now... Wanna understand how to design the correct interfaces... where to use capacitors, resistors, inductors, etc... How to interface a PIC or 8051 with an LCD... How to design a

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread John Luciani
On 4/3/07, Felipe Balbi [EMAIL PROTECTED] wrote: Hmm... I think my question is could regard this thread... Where can I learn how to design Electronic Circuits?? Is there a good quick start guide book ? I want to design some simple 8051 applications for my studying kick-off... After that I

Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle
With gnucap you can use fault, modify, param to interactively change component values. You can also sweep them with the DC command. Spice can sweep sources. Gnucap can sweep any single value. How about .. R1 (2 4) foo param foo=10k op param foo=47l That sounds _exactly_ like what I was

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread John Doty
On Apr 3, 2007, at 6:46 AM, Dan McMahill wrote: Peter Clifton wrote: On Sat, 2007-03-31 at 17:53 -0400, al davis wrote: How about .,,.. transistor curve tracer. How much do they cost? Why doesn't every college EE department have one on every bench? I think of the old 4th-year

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread John Griessen
DJ Delorie wrote: Ales Hvezda wrote: [snip] are the tabs really that useful since they do sorta clutter things up. Put the tabs in the toolbar? I like the tabs. They would be just as useful if in a toolbar section. They will cause less clutter there than as a separate PageManager

Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
On Tuesday 03 April 2007 09:51, Patrick Doyle wrote: With gnucap you can use fault, modify, param to 1) How would I model a switch? The switch device? Type S. (Same as Spice) 2) Did I forget a switch when I built Gnucap that would enable an X windows plot, or does Gnucap only support

gEDA-user: popular licenses (free hardware)

2007-04-03 Thread John Griessen
al davis wrote: Sometimes following legal documents to the letter has undesired harmful consequences. This one points out the importance of using a popular license, rather than making up your own. What's the popular one for hardware? John G

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Dave McGuire
On Apr 3, 2007, at 9:29 AM, Felipe Balbi wrote: Hmm... Nice... Actually, I'm Engineering studying... so.. that's my 4-year studying... But, I want to get it started now... Wanna understand how to design the correct interfaces... where to use capacitors, resistors, inductors, etc... How to

Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread al davis
On Tuesday 03 April 2007 09:20, Stuart Brorson wrote: Here's what crashes for me: $ ~/local/bin/gnucap spice.netlist.wpd gnucap plot ac v(Vout) gnucap ac dec 1Hz 1MegHz #Freq Segmentation fault 1.  You need to do op before ac. I was thinking about this during my morning

Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread al davis
On Tuesday 03 April 2007 10:49, al davis wrote: Probably, it should always print a note:  using operating point xxx. I will go a step further .. It should print a comment that tells what the settings were, and it should go both to the file and screen. # ac 20 20k octave 5 # operating

Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread Patrick Doyle
On 4/3/07, al davis [EMAIL PROTECTED] wrote: On Tuesday 03 April 2007 09:20, Stuart Brorson wrote: Here's what crashes for me: $ ~/local/bin/gnucap spice.netlist.wpd gnucap plot ac v(Vout) gnucap ac dec 1Hz 1MegHz #Freq Segmentation fault 1. You need to do op before ac. I

Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle
3) I fetched the spice model for an MMBT3640 from Fairchild, and saw that my simple circuit loaded up in ngspice, but when I attempt to load it in Gnucap, I get: * gnetlist -g spice-sdb -s -o mictest.ckt mictest.sch .MODEL MMBT3640 PNP LEVEL = 1 IS= 1.41E-15 ISE

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread evan foss
I don't think that is cluttered at all. I typically end up with multiple gschem windows open any way, this is less cluttered. I just have one question how do you open and close the tabs. Is it like firefox (right click). -- http://www.coe.neu.edu/~efoss/ http://evanfoss.googlepages.com/

gEDA-user: VMWare image of Ubuntu distribution of Linux with gEDA installed.

2007-04-03 Thread John Griessen
Steve Morss's VMWare image with gEDA is available on my server until people use up too much bandwidth. That will happen after 50 downloads See http://foseda.com/ the link gEDA-on-Linux-on-VMWare John Griessen PS I have not tested it yet. Do you have a checksum for it Steve?

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Dave McGuire
On Apr 3, 2007, at 11:36 AM, evan foss wrote: I don't think that is cluttered at all. I typically end up with multiple gschem windows open any way, this is less cluttered. I just have one question how do you open and close the tabs. Is it like firefox (right click). Hmm, my Firefox has a

Re: gEDA-user: VMWare image of Ubuntu distribution of Linux with gEDA installed.

2007-04-03 Thread Steve Morss
I ran md5 on the image and I get: MD5 (ubuntu-custom-live_3.iso) = 220343841c25e2d1f4c5ab698ae05812 The file is a .iso file that can be mounted as a CD image and booted from in VMWare. You can also burn it to a CD and boot from the CD without using VMWare at all. When it boots, you'll see

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Michael Sokolov
Does he still use ABEL? The last edition I saw did, but also had some VHDL. Dunno, I only have the 2nd edition (1994 or so IIRC). MS ___ geda-user mailing list geda-user@moria.seul.org http://www.seul.org/cgi-bin/mailman/listinfo/geda-user

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Darryl Gibson
Dave McGuire wrote: I will warn you, please listen...DO NOT expect to pick this up overnight, or even in a few weeks. There is a lot going on in those little components, and a lot of stuff to be aware of. I admire your desire to dive in and start doing things, but it's very important to

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread evan foss
I like the tabs. They would be just as useful if in a toolbar section. They will cause less clutter there than as a separate PageManager window... I thought the point was to reduce the number of windows you have open. I suppose you could make it user selectable but that would add

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Steven Michalske
I second this motion! basic stamps have nice small simple boards, the drawback i have with them is I didn't want to learn another variant of basic. If you are familiar with C I'd suggest a microcontroller that is capable of being programmed in C, assembly can be tighter and

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Mike Jarabek
To add my 0.02 CDN... I have a couple of NXP LPC2103 based boards that I have been using. They cost me $24.95 USD from www.futurlec.com. These are ARM based and I use GCC (with the patches from www.gnuarm.com, which you don't really need unless you want to mix ARM and Thumb code) to program

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Ryan Seal
If you are familiar with C I'd suggest a microcontroller that is capable of being programmed in C, assembly can be tighter and cleaner, but that takes practice. I am fond of the Atmel AVR series, and the GCC tool chain that goes along with it, it is also convient for OS X and Linux users,

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread DJ Delorie
I have seen DJ Delorie using the R8C from Renasas, and I see that they are also using a GCC tool chain. They look like a nice part as well. The whole R8C/M16C/M32C family is a sweet set, everything from 20 pin $3 to 144 pin chips at $42, with flash ranging from a few Kb to 1Mb. All with a

Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
On Tuesday 03 April 2007 11:17, Patrick Doyle wrote: ok, attached (perhaps) is a tarball of my work-in-progress directory, including my gschem schematic, my models directory, my Makefile that runs gnetlist (and ngspice).  I just checked before I packaged it up, and my version of Gnucap still

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread al davis
On Tuesday 03 April 2007 14:04, Ryan Seal wrote: I also like the microchip PIC line. CCS offers a nice compiler in Linux for about 80 bucks (with a student discount) - but, if you are new to all of this, I would second the motion for the Atmel AVR series as well; since they offer the gcc avr

Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread DJ Delorie
As an aside for the r8c, I have a .pcb file for an eval board for the R8C sdip-20 chips, which breaks out the chip to 100 mil headers and includes the oscillator: http://www.delorie.com/pcb/r8c-1b-adapter/ Renesas was almost giving away the starterkits for them a while back. Digikey

Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle
The reason it works with ng-spice and not gnucap is that it was written for ng-spice not gnucap. Gnucap doesn't have levels for the BJT unless you use plugins. You uncovered a bug that came about with the plugins -- in how it handles that. The old version would just ignore the level keyword.

Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
On Tuesday 03 April 2007 14:08, al davis wrote:  It still gets a warning on the NK parameter, and ignores it.  That is the same in gnucap or ngspice, or in gnucap with spice3f5 of ngspice models. Actually, it is a one-liner to add the parameter. I don't know what it does. It is probably

Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle
On 4/3/07, al davis [EMAIL PROTECTED] wrote: On Tuesday 03 April 2007 14:08, al davis wrote: It still gets a warning on the NK parameter, and ignores it. That is the same in gnucap or ngspice, or in gnucap with spice3f5 of ngspice models. Actually, it is a one-liner to add the parameter. I

Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
One more point ... Node names are case sensitive. I suppose I should change it, but that part of the code is planned for major rework anyway, and Verilog is supposed to be case sensitive. ___ geda-user mailing list geda-user@moria.seul.org

Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle
On 4/3/07, al davis [EMAIL PROTECTED] wrote: One more point ... Node names are case sensitive. I suppose I should change it, but that part of the code is planned for major rework anyway, and Verilog is supposed to be case sensitive. I'm a 20 year Unix veteran. I prefer case sensitivity :-)

RE: gEDA-user: simulation advice

2007-04-03 Thread David Kerber
As a windows user who does java programming (which is case-sensitive), I can understand being used to it, but why would you actually prefer it? Dave -Original Message- From: [EMAIL PROTECTED] [mailto:[EMAIL PROTECTED] On Behalf Of Patrick Doyle Sent: Tuesday, April 03, 2007 3:03 PM

Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle
On 4/3/07, David Kerber [EMAIL PROTECTED] wrote: As a windows user who does java programming (which is case-sensitive), I can understand being used to it, but why would you actually prefer it? habit, comfort, discipline, golly I've never really thought too much about it before. --wpd

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Karl.
Hmm, my Firefox has a close tab button on the right of each tab. That seems to be very effective. Middle-clicking on the tab is a faster way to close it, if you know that trick - it's faster because you only have to aim for the tab (not the little cross inside the tab). I'm not sure if

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread evan foss
On 4/3/07, Karl. [EMAIL PROTECTED] wrote: Hmm, my Firefox has a close tab button on the right of each tab. That seems to be very effective. Middle-clicking on the tab is a faster way to close it, if you know that trick - it's faster because you only have to aim for the tab (not the little

gEDA-user: PCB puller tool

2007-04-03 Thread Steven Michalske
Folks, In the GTK hid of PCB I can't seem to use the puller tool, is this a lesstif only? Pressing 'Y' while over the line/arc junction doesn't seem to do anything. strings pcb | grep puller does show that the puller object file was linked in. Steve

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Dave McGuire
On Apr 3, 2007, at 3:41 PM, Karl. wrote: Hmm, my Firefox has a close tab button on the right of each tab. That seems to be very effective. Middle-clicking on the tab is a faster way to close it, if you know that trick - it's faster because you only have to aim for the tab (not the little

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Dave McGuire
On Apr 3, 2007, at 3:44 PM, evan foss wrote: Hmm, my Firefox has a close tab button on the right of each tab. That seems to be very effective. Middle-clicking on the tab is a faster way to close it, if you know that trick - it's faster because you only have to aim for the tab (not the

Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
On Tuesday 03 April 2007 15:02, Patrick Doyle wrote: I'm a 20 year Unix veteran.  I prefer case sensitivity :-) The issue here is not preference but conformance to a published standard (Verilog) or to an unwritten understanding in Spice. Actually, early versions of Spice (in Fortran) were case

Re: gEDA-user: PCB puller tool

2007-04-03 Thread DJ Delorie
In the GTK hid of PCB I can't seem to use the puller tool, is this a lesstif only? Likely. I hooked in the puller through pcb-menu.res just to test it. You should be able to use :puller in gtk, then maybe have to click on where you want to pull. If you like the puller, I can send you the

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Dave McGuire
On Apr 3, 2007, at 3:53 PM, DJ Delorie wrote: Gotcha. I use a one-button mouse on my main web-browsing machine, though. ;) Story time... At my previous job, I once worked with the marketing people to put together an interactive web site publishing system (I did the system part, they did

Re: gEDA-user: simulation advice

2007-04-03 Thread Dave McGuire
On Apr 3, 2007, at 3:25 PM, David Kerber wrote: As a windows user who does java programming (which is case- sensitive), I can understand being used to it, but why would you actually prefer it? I can tell you why *I* prefer case-sensitivity. It makes sense. 'A' is simply not the same

Re: gEDA-user: simulation advice

2007-04-03 Thread DJ Delorie
I have enough problems with my word processor changing case when I don't want it to, I certainly don't need my file system doing it too. ___ geda-user mailing list geda-user@moria.seul.org http://www.seul.org/cgi-bin/mailman/listinfo/geda-user

Re: gEDA-user: simulation advice

2007-04-03 Thread Ryan Seal
Dave McGuire wrote: On Apr 3, 2007, at 3:25 PM, David Kerber wrote: As a windows user who does java programming (which is case-sensitive), I can understand being used to it, but why would you actually prefer it? I can tell you why *I* prefer case-sensitivity. It makes sense. 'A' is

Re: gEDA-user: simulation advice

2007-04-03 Thread ldoolitt
On Tue, Apr 03, 2007 at 04:00:24PM -0400, DJ Delorie wrote: I have enough problems with my word processor changing case when I don't want it to, I certainly don't need my file system doing it too. It recently took me five minutes to sweet-talk openoffice into letting me type MHz correctly.

Re: gEDA-user: simulation advice

2007-04-03 Thread Dave McGuire
On Apr 3, 2007, at 4:28 PM, Ryan Seal wrote: As a windows user who does java programming (which is case- sensitive), I can understand being used to it, but why would you actually prefer it? I can tell you why *I* prefer case-sensitivity. It makes sense. 'A' is simply not the same thing

RE: gEDA-user: simulation advice

2007-04-03 Thread David Kerber
There's a much shallower option to add that to the dictionary, so it will even correct it next time... Dave -Original Message- From: [EMAIL PROTECTED] [mailto:[EMAIL PROTECTED] On Behalf Of [EMAIL PROTECTED] Sent: Tuesday, April 03, 2007 4:37 PM To: gEDA user mailing list

Re: gEDA-user: simulation advice

2007-04-03 Thread ldoolitt
David - On Tue, Apr 03, 2007 at 04:52:52PM -0400, David Kerber wrote: There's a much shallower option to add [MHz] to the dictionary, so it will even correct it next time... Openoffice didn't just show it with a red squiggly underline, it actively changed it as soon as I typed it. How could

Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle
Since you mentioned it, and I didn't think of it before, it is easy to change it, so I did .. Here's the patch .. in the file d_bjt.model Find: public_keys { NPN polarity=pN; PNP polarity=pP; } Change it to: public_keys { NPN polarity=pN; PNP polarity=pP; NPN1

Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
On Tuesday 03 April 2007 17:05, Patrick Doyle wrote: Speaking of patches, features, and recompiles... I just typed edit at the Gnucap prompt for a somewhat modified, but basically the same netlist as I gave you previously, was rewarded with the netlist showing up in my emacs,  exited out, and

Re: gEDA-user: simulation advice

2007-04-03 Thread Dan McMahill
al davis wrote: On Tuesday 03 April 2007 15:02, Patrick Doyle wrote: I'm a 20 year Unix veteran. I prefer case sensitivity :-) The issue here is not preference but conformance to a published standard (Verilog) or to an unwritten understanding in Spice. Actually, early versions of Spice

Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread Dan McMahill
al davis wrote: Rather, Gnucap should do a check before running an analysis to verify that the operating point has already been computed and is known. If it's unknown, then Gnucap should print out a warning like No operating point -- you probably need to run op. That would be an

Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Steven Michalske
Story time, back when I was in middle school, early 90's. I have a friend that was a Mac fanatic, my constant source of teasing was the one button mouse. Then one day he brought in his 8 button mouse for a Mac. I was schooled, as at that time windows boxes had a hard time with three

Re: gEDA-user: Design Flow Roadmap starting point

2007-04-03 Thread Magnus Danielson
From: al davis [EMAIL PROTECTED] Subject: Re: gEDA-user: Design Flow Roadmap starting point Date: Sun, 18 Mar 2007 01:29:07 -0400 Message-ID: [EMAIL PROTECTED] Al, (Jumping into the discussion mid-waters) I still believe we need an interchange file format, that should be a VHDL derivative.

Re: gEDA-user: PCB puller tool

2007-04-03 Thread Steven Michalske
Thanks, puller in the command window worked, i wish i knew gtk better so i could map Y to puller in gtk the global puller might be interesting :-) Steve On Apr 3, 2007, at 12:49 PM, DJ Delorie wrote: In the GTK hid of PCB I can't seem to use the puller tool, is this a lesstif only?

Re: gEDA-user: PCB puller tool

2007-04-03 Thread DJ Delorie
I put a copy of the modified src/puller.c here: http://www.delorie.com/pcb/puller/puller.c :GlobalPuller :GlobalPuller(selected) :GlobalPuller(found) Just replace your existing src/puller.c with it and recompile. It affects the current layer only. MAKE SURE YOU HAVE A BACKUP OF YOUR .PCB

Re: gEDA-user: Design Flow Roadmap starting point

2007-04-03 Thread al davis
On Tuesday 03 April 2007 18:36, Magnus Danielson wrote: You can strip of many things from VHDL which you will not initially need. What you end up with very quickly is a small subset which brings much of the properties which gedas textual format has. It should be fairly easy to do so.

Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread al davis
On Tuesday 03 April 2007 17:37, Dan McMahill wrote: I have to agree 100% with Al here.  The ability to easily run the ac analysis at whatever operating point you have, be it from an explicit operating point analysis or where a transient stopped, is extremely important.  Al's class-B amp is a

gEDA-user: Re: Icarus Verilog PLI example: PLI_INT32 vs static int

2007-04-03 Thread Stephen Williams
Günter Dannoritzer wrote: I modified the vpi_user.c to not needing the other application that comes along with that chapter 2 example and compiled it with: iverilog-vpi pow_vpi.c vpi_user.c iverilog -opow_test.vvp pow_test.v vvp -M. mpow_vpi pow_test.vvp The output I am getting is:

Re: gEDA-user: Design Flow Roadmap starting point

2007-04-03 Thread Magnus Danielson
From: al davis [EMAIL PROTECTED] Subject: Re: gEDA-user: Design Flow Roadmap starting point Date: Tue, 3 Apr 2007 19:08:27 -0400 Message-ID: [EMAIL PROTECTED] Al, All that is needed is the basic framework. It is really simple. Most of everything is out, but by picking a standard language

Re: gEDA-user: VMWare image of Ubuntu distribution of Linux with gEDA installed.

2007-04-03 Thread Darrell Harmon
John Griessen wrote: Steve Morss's VMWare image with gEDA is available on my server until people use up too much bandwidth. That will happen after 50 downloads See http://foseda.com/ the link gEDA-on-Linux-on-VMWare John Griessen PS I have not tested it yet. Do you have a

Re: gEDA-user: simulation advice

2007-04-03 Thread Michael Sokolov
[EMAIL PROTECTED] wrote: It recently took me five minutes to sweet-talk openoffice into letting me type MHz correctly. Yet another reason to use vi and troff instead of OO. Good thing for me I rarely use word processors of any kind. I'm a TeXhead from way back. So why were you using OO

Re: gEDA-user: Design Flow Roadmap starting point

2007-04-03 Thread Magnus Danielson
From: al davis [EMAIL PROTECTED] Subject: Re: gEDA-user: Design Flow Roadmap starting point Date: Tue, 3 Apr 2007 20:22:34 -0400 Message-ID: [EMAIL PROTECTED] On Tuesday 03 April 2007 19:38, Magnus Danielson wrote: From: al davis [EMAIL PROTECTED] I was thinking of using the gnucap CS

Re: gEDA-user: Looking for a project

2007-04-03 Thread Greg Cunningham
On Tue, 2007-04-03 at 22:49, Dan McMahill wrote: al davis wrote: FM stereo generator. The broadcast ones are very expensive. You can buy one made for a lab cheap. It sort of works. A real broadcast one is simple but much more expensive. If anyone feels like building one of these,

Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread John Doty
On Apr 3, 2007, at 3:37 PM, Dan McMahill wrote: I have to agree 100% with Al here. The ability to easily run the ac analysis at whatever operating point you have, be it from an explicit operating point analysis or where a transient stopped, is extremely important. Yep. Of course what

Re: gEDA-user: Several PCB versions on 1 system

2007-04-03 Thread ST de Feber
How stupid can one be ! Somewhere in my /opt/pcb-20070208/share was a script (called Pcb) setting the default libraries, of which one was called newlib. This setting shadowed my own newlib setting in the .pcb/preferences file/ grtz Simon - Original Message From: ST de Feber [EMAIL