[gem5-users] Again... "Tried to write unmapped address"

2013-01-20 Thread Mahmood Naderan
Hi, With the latest revision on gem5, I get "Tried to write unmapped address" for a number of spec2k6 benchmarks however I previous revisions have no problem. This time the unmapped address is 0x58, 0xb8 or even 0x00!! REAL SIMULATION info: Entering event queue @ 23127352500. Starting s

Re: [gem5-users] Again... "Tried to write unmapped address"

2013-01-20 Thread Mahmood Naderan
By the way, I see that error for read/write/execute On 1/20/13, Mahmood Naderan wrote: > Hi, > With the latest revision on gem5, I get "Tried to write unmapped > address" for a number of spec2k6 benchmarks however I previous > revisions have no problem. > This time the

Re: [gem5-users] Again... "Tried to write unmapped address"

2013-01-21 Thread Mahmood Naderan
Thank you. It is fine now On 1/21/13, Nilay wrote: > On Sun, January 20, 2013 2:14 pm, Mahmood Naderan wrote: >> Here is my findings: >> 1) for r9460, I get a segmentation fault (and not the unmapped >> address). I attached the gdb output and the config.ini and the config

[gem5-users] prefetcher configuration has been changed

2013-01-21 Thread Mahmood Naderan
Hi it seems that the way we configure and define a prefetcher has been chnaged in the latest revision 9476. So far, my cmp.py looked like: system.l2 = L2Cache() system.l2.prefetcher = Prefetcher() and Caches.py looked like class Prefetcher(BasePrefetcher): type = 'StridePrefetcher' degre

Re: [gem5-users] prefetcher configuration has been changed

2013-01-22 Thread Mahmood Naderan
ok thanks. it seems that the prefetcher has to be defined in Caches.py as part of of "class L2Cache(BaseCache):" On 1/22/13, Nilay wrote: > > On Tue, January 22, 2013 1:33 am, Mahmood Naderan wrote: >> Hi >> it seems that the way we configure and define a prefetche

[gem5-users] core id in PacketPtr

2013-01-25 Thread Mahmood Naderan
Hi, How can I find which core send a message? As I read packet.hh, there is no member like core_id or something else. The only thing that I guess is /** * Device address (e.g., bus ID) of the source of the * transaction. The source is not responsible for setting this * field; it

Re: [gem5-users] core id in PacketPtr

2013-01-25 Thread Mahmood Naderan
eld is hop to hop and is only used by (de)multiplexing > components to send responses to the right port. > > Andreas > > On 25/01/2013 10:27, "Mahmood Naderan" wrote: > >>Hi, >>How can I find which core send a message? As I read packet.hh, there >>is n

Re: [gem5-users] core id in PacketPtr

2013-01-25 Thread Mahmood Naderan
D. That includes DMAs etc. Thus, every > block that on its own generates requests should have a master id. > > Good luck. > > Andreas > > On 25/01/2013 10:56, "Mahmood Naderan" wrote: > >>I see this in stride.cc >> >>MasterID master_id = useMasterI

Re: [gem5-users] Last leve cache accesses

2013-01-28 Thread Mahmood Naderan
I think so. However Andreas says MasterID is the right thing http://comments.gmane.org/gmane.comp.emulators.m5.users/13388 On 1/27/13, mihai pricopi wrote: > In cache_imple.hh access() function, is the returned value of > pkt->req->contextId() the id of the core who made the cache request > (assu

[gem5-users] memory management

2013-01-31 Thread Mahmood Naderan
Hi I have found that in a N multicore simulation, defined memory size should be N*4GB. For example, I am simulting eight cores so I have to define 32GB memory. Otherwise I randomly get "unable to find destination ..." error. However in reality while the simulation is running, "top" command shows t

Re: [gem5-users] memory management

2013-02-01 Thread Mahmood Naderan
It is really annoying. I think mmap is responsible for this behavior. On 1/31/13, Mahmood Naderan wrote: > Hi > I have found that in a N multicore simulation, defined memory size > should be N*4GB. For example, I am simulting eight cores so I have to > define 32GB memory. Otherwis

Re: [gem5-users] memory management

2013-02-02 Thread Mahmood Naderan
ory system and making it incredibly large is just > covering the problem up. > > Ali > > On Feb 2, 2013, at 2:57 AM, Mahmood Naderan wrote: > >> It is really annoying. I think mmap is responsible for this behavior. >> >> On 1/31/13, Mahmood Naderan wrote: >&

Re: [gem5-users] Unimplemented Function

2013-02-03 Thread Mahmood Naderan
Hi What is the IPC you get from h264? On 2/4/13, Rodrigo Reynolds Ramírez wrote: > > Hello everyone, > I was using the stable version (f75ee4849c40), I download the version in > development (890fc69ba53c) and only one benckmark does not work. I suppose > this syscall will be supported in future r

Re: [gem5-users] L1 cache miss count

2013-03-08 Thread Mahmood Naderan
Hi Regarding your first question, you can modify the code and print the stats to a file or std::cout whenever you want. Check and see where in the code, your desired miss stat is increased. On 3/8/13, tejasi pimpalkhute wrote: > Hi All, > > Could anyone please tell me if I can check the count of

Re: [gem5-users] Low IP for SPEC CPU 2006 benchmarks

2013-04-02 Thread Mahmood Naderan
Hi I confirm that for some benchmarks. However it is very hard to pin point the fault. The most probable thing is the incorrect implementation of some special instructions. For example, CFP benhcmarks uses some special instructions a lot. So if the instruction has not been implemented correctly, th

Re: [gem5-users] Splash2

2013-04-21 Thread Mahmood Naderan
There are some scripts in config/splash2. Have you tried them? On 4/21/13, Northwestern wrote: > Hi guys, > > Because nobody answer my question and I am still working on it. I decide > send my simple question again. Thank you for your help! > > Best, > Tony > > Begin forwarded message: > >> From:

Re: [gem5-users] GHB prefetcher

2013-05-06 Thread Mahmood Naderan
This may be useful http://www.mail-archive.com/gem5-users@gem5.org/msg02490.html On 5/7/13, Xiangyang Guo wrote: > Hi, gem5-user, > > I take a look at the GHB prefetcher provided by Gem5 , I'm wondering if > this part is finished or not? It seems that the code is like a stride based > GHB prefetc

Re: [gem5-users] cc1plus:warnings being treated as errors

2013-05-16 Thread Mahmood Naderan
Hi Comment or remove the following line from src/SConscript new_env.Append(CCFLAGS='-Werror') Hope that helps On 5/16/13, Ranga, L Udaya wrote: > Hi, > > I get a lot of error messages while building gem5 which are related to > uninitialized warnings being treated as errors. I tried adding s

Re: [gem5-users] Meaning of "warn: instruction 'fld' unimplemented"

2013-05-24 Thread Mahmood Naderan
Hi That means specific floating point instructions are not implemented. So they are ignored. If your benchmark, uses these instructions a lot, then your results are not very representative. On 5/24/13, Maxime Chéramy wrote: > Hello, > > With a specific bench, I encountered the warnings: > > warn:

Re: [gem5-users] "terminate called after throwing an instance of 'std::bad_alloc' " when using trunk

2013-06-04 Thread Mahmood Naderan
Hi bad_alloc() messages usually means running out of memory. You can attach valgrind to find memory leakage. Hope that help On 6/4/13, Maxime Chéramy wrote: > Hi, > > I've just updated my instance of gem5 with the last changes from the > mercurial repo. The code still compile properly but when I

Re: [gem5-users] "terminate called after throwing an instance of 'std::bad_alloc' " when using trunk

2013-06-04 Thread Mahmood Naderan
>Not really :). If you know precisely what I should type, I'll do it. Simply run "valgrind build/X86/m5.debug ." Note that you have to compile in debug mode and valgrind is slow. So you have to lower the fast forward and other times. On 6/4/13, Ali Saidi wrote: > > > Normally you see a bad_al

Re: [gem5-users] Low IP for SPEC CPU 2006 benchmarks

2013-06-19 Thread Mahmood Naderan
An update to this issue is to hear a feedback from someone who uses ALPHA binaries in SE mode. X86 is not as rich as ALPHA. If IPC values are normal in ALPHA, then there is something wrong with X86 decoder. On 6/17/13, Manmohan Manoharan wrote: > > Jerry Backer students.poly.edu> writes: > >> >

[gem5-users] Issue with mounting the disk image

2013-06-25 Thread Mahmood Naderan
Hi Maybe the question is not directly related to gem5, but the problem is users (not sudoers) can not execute mount command because of the permission set by the so they can not mount the disk image. Has anyone faced such problem? -- Regards, Mahmood

[gem5-users] consistency issue with gem5

2013-07-24 Thread Mahmood Naderan
Hi I have a concern about gem5 simulator consistency and will be glad for any help. Thing is, I have implemented two different prefetchers, but with the same binary and command lines, I see that different block addresses are accessed. However which block is accessed must be a function of the progr

Re: [gem5-users] consistency issue with gem5

2013-07-24 Thread Mahmood Naderan
The simulator job is to *simulate* the given code. Otherwise, it will be extremely difficult to verify the code because everything is possible! Specifically for my prefetcher, assume the program code looks like this LD 0x90 ADD ... Assume my prefetcher, predict something else which is wrong. The

Re: [gem5-users] consistency issue with gem5

2013-07-24 Thread Mahmood Naderan
>you can use the util/tracediff script >(http://www.m5sim.org/Debugging#tracediff). It seems that I can not pass all parameters to command line. Currently, in my cmp.py, I have system.l2.prefetcher = Prefetcher() However in Caches.py, I have class Prefetcher(BasePrefetcher): type = 'StridePr

[gem5-users] modifying trace messages

2013-07-25 Thread Mahmood Naderan
Hi Where can I customize the trace messages in the source files? I am executing --debug-flags=Exec --trace-file=trace.out ... -- Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

[gem5-users] Shall we solve "unable to find destination addr" once for all ?!

2013-07-25 Thread Mahmood Naderan
Hi I think I found why randomly one receives this error: fatal: Unable to find destination for addr 0xNNN on bus system.membus A workaround for this issue is to increase the memory as discussed in the following posts http://www.mail-archive.com/gem5-users@gem5.org/msg04502.html http://www.mail-ar

[gem5-users] blocking causes

2013-08-08 Thread Mahmood Naderan
Hi, I see some stats regarding block causes. system.l2.blocked_cycles::no_mshrs 9584945079 # number of cycles access was blocked system.l2.blocked_cycles::no_targets 0 # number of cycles access was blocked system.l2.blocked::no_mshrs 1765905 # number of cycles

[gem5-users] regarding mcpat

2013-11-20 Thread Mahmood Naderan
Hi, While porting stats from gem5 to mcpat, I could not find the counterpart of the following stats. 1- Shall we use the same L1D stats for L1Directory? For example, are these equal 2- The same question regarding L2 and L2Directory 3- -- Regards, Mahmood ___

Re: [gem5-users] regarding mcpat

2013-11-26 Thread Mahmood Naderan
ance > > > > 2013/11/20 Amin Farmahini > >> This might give you some idea. >> https://www.cl.cam.ac.uk/~acr31/sicsa/mcpat-template.xml >> >> Amin >> >> >> On Wed, Nov 20, 2013 at 8:58 AM, Mahmood Naderan >> wrote: >> >>> Hi,

[gem5-users] Regarding ipc_total

2013-11-26 Thread Mahmood Naderan
Hi, Looking in to code cpu.cc, I see ipc .name(name() + ".ipc") .desc("IPC: Instructions Per Cycle") .precision(6); ipc = committedInsts / numCycles; totalIpc .name(name() + ".ipc_total") .desc("IPC: Total IPC of All Threads") .precisio

Re: [gem5-users] DRAM prefetcher

2013-12-02 Thread Mahmood Naderan
>The pre-fetcher for L2, does it fetch from DRAM and place the data in L2 >(assuming L2 is the last level cache)? Or is it fetching from L2 and placing >the data in L1? Hi, The easiest way is to turn on the debug messages "DPRINTF" and track one prefetch request that has been issued. I am not sure

Re: [gem5-users] Memory contention: Odd results

2013-12-07 Thread Mahmood Naderan
Hi >- work: which accesses memory very frequently and each > access produces a L1 and L2 miss Are you sure about that? Can you confirm that the hit stats are zero? -- Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi

Re: [gem5-users] IPC

2014-02-05 Thread Mahmood Naderan
You can write a script to sum all committed instructions, sum(commit0, ..., commitN), and then divide that by the number of cycles -- Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

Re: [gem5-users] Splash-2 with m5threads "panic address not mapped error"

2014-02-18 Thread Mahmood Naderan
Hi Have you modified the code in a way to create new addresses? You should note that the unmapped address is in the range of 4GB< < 8GB. If you increase the memory to 8GB, that specific address will be resolved but you may see another error for addresses larger than 8GB!! Hope that helps On 2/18

Re: [gem5-users] Splash-2 with m5threads "panic address not mapped error"

2014-02-19 Thread Mahmood Naderan
me other custom >> object code that shouldn't be called when running under Gem5 at all. This >> is evidenced by the fact that I can also run the same executable natively >> and confirm that it finishes. (I also did a Valgrind Memcheck just to make >> sure.) >>

Re: [gem5-users] Splash-2 with m5threads "panic address not mapped error"

2014-02-19 Thread Mahmood Naderan
dress map. In SE > mode, without an OS present I'm not sure what it means other than all > threads/cores having a global view of memory. Shouldn't be causing my > issue. > > I'll try using Physical Memory as well. Thanks. > > > On 19 February 2014 14:18, Mahmoo

[gem5-users] Three level coherency

2014-02-22 Thread Mahmood Naderan
Hi As far as I remember, there wan't any three level cache coherency in old versions. Is there any news about that? -- Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

[gem5-users] error on 'cpu_voltage_domain'

2014-02-24 Thread Mahmood Naderan
Hi While porting an old simulation script, I added these lines (the same as se.py) system.voltage_domain = VoltageDomain(voltage = options.sys_voltage) system.cpu_clk_domain = SrcClockDomain(clock = options.cpu_clock, voltage_domain =

[gem5-users] cache latency unit

2014-02-26 Thread Mahmood Naderan
Hi, It seems that the latency unit has been changed from Tick (ns) to Cycle. Is that correct? For example I see class L1Cache(BaseCache): size= '32kB' assoc = 4 hit_latency = 2 response_latency = 2 is_top_level = True Using CACTI, at 45nm process technology and 2.5GHz cpu freq

Re: [gem5-users] Question about McPAT

2014-02-27 Thread Mahmood Naderan
Is your i-cache bigger than d-cache (in terms of KB and not mm2)? On 2/20/14, Mahshid Sedghi wrote: > Hi, > > I was wondering if anyone have done area estimation for L1 caches using > McPAT. For some reason, L1 dcache or icache is the same for > -- Regards, Mahmood

Re: [gem5-users] instruction cache miss rate result for parsec benchmark

2014-02-28 Thread Mahmood Naderan
Hi You can try other workloads or reduce the i-cache size (8KB) to verify if it working properly. On 2/28/14, Fateme Movafagh wrote: > hi all, > I am running parsec on gem5 x86 full system with --caches --cachel2 option > . > in the stat.txt file , the result of total Icache miss rate is very sma

[gem5-users] Maxticks option

2014-03-02 Thread Mahmood Naderan
Hi I have set -F 2,000,000,000 and -m 4,000,000,000,000 to simulate an eight core processor. However the final tick is not the same as what I specified Exiting @ tick 2291079562000 because target called exit() Do I need to specify something else? -- Regards, Mahmood ___

Re: [gem5-users] Running SPECCPU2006 benchmarks in X86 SE mode

2014-03-03 Thread Mahmood Naderan
Add this line to Mybench.py from m5.objects import LiveProcess -- Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

[gem5-users] Finding cache type in cache_impl.hh

2014-03-06 Thread Mahmood Naderan
Hi, Since cache_impl.hh is used for all cache levels and types, how is it possible to check whether it is used for d-cache or something else? IsTopLevel is useful for L1/L2 but what about i-cache, d-cache and page table walker? I can not find any identifier for that. -- Regards, Mahmood

Re: [gem5-users] Finding cache type in cache_impl.hh

2014-03-06 Thread Mahmood Naderan
> Original message ---- > From: Mahmood Naderan > Date: > To: gem5 users mailing list > Subject: [gem5-users] Finding cache type in cache_impl.hh > > Hi, > Since cache_impl.hh is used for all cache levels and types, how is it > possible to check whether it is

[gem5-users] Parsec checkpointing at ROI for X86

2014-03-09 Thread Mahmood Naderan
Hi, Has anyone been able to take a checkpoint at the beginning of ROI for PARSEC workloads on a X86 disk image? I used the hook binaries but they ignore the hooks. -- Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-

[gem5-users] config.dot.pdf file

2014-03-11 Thread Mahmood Naderan
Hi It seems that in the full system simulation, the *.dot.pdf is not created. Can someone confirm tht? -- Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

Re: [gem5-users] config.dot.pdf file

2014-03-11 Thread Mahmood Naderan
Thanks Andreas, I worked with se.py on one system and fs.py on another. -- Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

Re: [gem5-users] mmap error when I increase mem-size

2014-03-12 Thread Mahmood Naderan
Anju, First you have to find is it a system related problem or gem5 bug. What is your OS? G++? GLIBC? -- Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

Re: [gem5-users] Syscall clock_gettime (#228) implemented

2014-03-24 Thread Mahmood Naderan
>fatal: syscall clock_gettime (#228) unimplemented Regrding the gettime call, if it is used to report the progress, then you can safely ignore that. Otherwise, you have to implement that. To ignore that you have to comment some lines in code! grep for the syscall and you will find that. -- Regar

Re: [gem5-users] Question about IPC in the O3 CPU

2014-03-31 Thread Mahmood Naderan
Hi, That depends on the definition of idle cycle. Some say that idle cycles don't include cache misses because there exists some instructions in the pipeline. With such definition, idle cycles are related to thread stalls due to I/O, network, ... You have to check how idle cycles is incremented in

Re: [gem5-users] gem5 cache latency, impact on performance

2014-04-04 Thread Mahmood Naderan
Sanem, maybe you put sleep() somewhere in the code that never executes! Can you confirm that by breakpoints? On 4/4/14, Sanem Arslan wrote: > Hi all, > > I am using classic memory system and I have added several codes to > the "cache_impl.hh" and "blk.hh" files. However I cannot see the > perfor

Re: [gem5-users] modeling L3 last level cache in gem5

2014-04-04 Thread Mahmood Naderan
Prateek, Don't know what is config.dot.py but with config.dot.pdf you can easily verify the connections. On 4/4/14, Prateek Gupta wrote: > Hello Andreas, > > > Thanks for your reply. > Do I need to extend fs.py or se.py? I have tried making changes in > CacheConfig.py, Caches.py and > Options.py

Re: [gem5-users] gem5 cache latency, impact on performance

2014-04-05 Thread Mahmood Naderan
ut execution time > value (sim_seconds) in stat file does not show a significant difference. > Alinti Mahmood Naderan > >> Sanem, >> maybe you put sleep() somewhere in the code that never executes! Can >> you confirm that by breakpoints? >> >> On 4/4/14,

[gem5-users] cache stats

2014-04-08 Thread Mahmood Naderan
Hi What is the logic behind the definition of cache stats? For each stat, a loop is defined that assigns a subname it. -- Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

Re: [gem5-users] Prefetcher / Cache Address

2014-04-19 Thread Mahmood Naderan
If there is a way to view the data of a particular address prior to its actual request, then what does prefetching mean here? With prefetching, you generate an address and issue later to bring the data. On 4/19/14, anonymous wrote: > Fernando Endo gmail.com> writes: > >> >> >> Hello, >> I'm no

Re: [gem5-users] Why CPI is so big

2014-04-26 Thread Mahmood Naderan
>I get the CPI by dividing sim_insts(m5out/stats.txt) >from sim_ticks and the result is 9411 CPI has a dedicated stat name. Check that. >what are the default configs for cacheline size See Options.py On 4/26/14, luming wrote: > Dear gem5 users, > > I'm new to gem5 and I ran SPEC2006 to get some

Re: [gem5-users] List of SPEC 2000 runnable benchmarks on gem5

2015-03-10 Thread Mahmood Naderan
The instructions are available at http://www.m5sim.org/SPEC2000_benchmarks On 3/10/15, Vanchinathan Venkataramani wrote: > Hi Andreas > > I can find the list of SPEC2006 benchmarks that can successfully run on > gem5 from the following link: > > http://www.m5sim.org/SPEC_CPU2006_benchmarks > > I

[gem5-users] where to obtain 'vmlinux.aarch32.ll_20131205.0-gem5'?

2015-12-24 Thread Mahmood Naderan
Hi, After some years, now getting back to gem5 for a test! I followed the instructions on how to run bbench, however got an error that relates to a missing file What is 'vmlinux.aarch32.ll_20131205.0-gem5' and where can I obtain that? seems that a step is missing in the manual. mahmood@orca:g

Re: [gem5-users] where to obtain 'vmlinux.aarch32.ll_20131205.0-gem5'?

2015-12-24 Thread Mahmood Naderan
Thanks. Found it... Regards, Mahmood On Thu, Dec 24, 2015 at 7:35 PM, Paul Rosenfeld (prosenfeld) < prosenf...@micron.com> wrote: > It should be in the ARM full system files: > http://www.gem5.org/dist/current/arm/aarch-system-2014-10.tar.xz > > > > tar --list -f aarch-system-2014-10.tar | gre

[gem5-users] problem attaching vncviewer

2015-12-24 Thread Mahmood Naderan
Hi, As I run the bbench, the simulation window shows nothing. On another terminal running vncviewer, I get this error: ​mahmood@orca:~$ vncviewer 127.0.0.1:5900 Connected to RFB server, using protocol version 3.8 No authentication needed Authentication successful Desktop name "M5" VNC server defau

[gem5-users] Yet another question on BBench

2015-12-26 Thread Mahmood Naderan
Hi, For the BBench, how can I be sure that the android is booted up in order to create a checkpoint? Also, how does it work? I mean does it automatically run the benchmark after the boot? Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org

Re: [gem5-users] Yet another question on BBench

2015-12-27 Thread Mahmood Naderan
OK I think I got what you said. However, I have to say that after about two hours, the simulation aborted with a panic related to VNC. I have to say I didn't attach any vncviewer since the job was running in the background yesterday night. Have you seen that before? Global frequency set at 100

[gem5-users] Error on gem5.debug but no error on gem5.fast

2015-12-28 Thread Mahmood Naderan
Hi, If I run bbench with gem5.debug, I immediately get an error in the code however, gem5.fast doesn't fail at that point. In fact it will fail after so many ticks. A bit strange... Any idea on that? I haven't modified the code yet. gem5.debug warn: DTB file specified, but no device tree suppor

Re: [gem5-users] Error on gem5.debug but no error on gem5.fast

2015-12-28 Thread Mahmood Naderan
orget all about ICS and instead > follow the instructions here: http://www.gem5.org/Android_KitKat and > here: http://www.gem5.org/WA-gem5. > > That enables you to run a wide range of workloads, using Workload > Automation on Android KitKat. > > Andreas > > From: gem5-users

Re: [gem5-users] IDE For Gem5

2016-06-09 Thread Mahmood Naderan
​Hi, What do you mean by Gem5 itself? it is c++ code which you can debug it like other codes. Regards, Mahmood ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

Re: [gem5-users] Error using runspec in SPEC2006 Benchmarks

2017-02-02 Thread Mahmood Naderan
Hi, Although it is not related to gem5, i recommecd you to directly go to the src folder of each benchmark and build that. Regards, Mahmood On 2 Feb 2017 22:57, "Muzamil Rafique" wrote: Hi All, I am trying to use runspec in an effort to compile and run SPEC2006 benchmarks and getting following

Re: [gem5-users] SimPoint with gem5

2017-07-05 Thread Mahmood Naderan
Hi, Have you read http://gem5.org/Simpoints ? Regards, Mahmood On Wed, Jul 5, 2017 at 4:00 PM, Mukherjee, Somnath wrote: > Friends, > > A rather short message – wanted to know if anyone has used SimPoint with > gem5? J > > https://www.spec.org/cpu2006/research/simpoint.html > > > > If yes, ca

Re: [gem5-users] add parameter in params

2017-07-11 Thread Mahmood Naderan
Hi, You have to edit the .py file in the src/ and then recompile. For example, have a look at src/mem/cache/BaseCache.py and add your *cache* related params there and the recompile. The same can be applied to other components. Regards, Mahmood On Tue, Jul 11, 2017 at 7:31 PM, raziye deylamsaleh

Re: [gem5-users] add parameter in params

2017-07-11 Thread Mahmood Naderan
r: > > system.ruby.network.netifs000.num_vcs without default or user set value > > On Tue, Jul 11, 2017 at 7:37 PM, Mahmood Naderan > wrote: > >> Hi, >> You have to edit the .py file in the src/ and then recompile. For >> example, have a look at src/mem/c

Re: [gem5-users] Error in the process of running SPEC CPU2006 Benchmarks in the gem5 Simulator

2017-07-19 Thread Mahmood Naderan
Hi, As far as I remember, not all spec benchmarks run in the SE mode since it is probable that there exist unimplemented instructions. You can try other things such as bzip2, libquantum, ... Another less useful trick is to skip that instruction. However, if that instruction repeats so many times in

[gem5-users] modifying compiler path

2011-07-03 Thread Mahmood Naderan
Hi, How can I modify compiler path before making the source files. There exist multiple version of gcc and g++ on my system and I want to explicitly state CC = /usr/bin/gcc-4.5 CXX = /usr/bin/g++-4.5 I found two Makefiles /home/mahmood/gem5-stable/util/m5/Makefile.x86 /home/mahmood/gem5-stable/ut

Re: [gem5-users] modifying compiler path

2011-07-03 Thread Mahmood Naderan
ok I will ty to find if scons accepts absolute paths. >You may not want to use the stable repository since that tends to get out of >date, but you can if you want. You mean I should use hg clone http://repo.gem5.org/gem5 ? On 7/3/11, Gabe Black wrote: > On 07/03/11 03:04, Mahmood Nade

[gem5-users] panic: Invalid microop!

2011-07-03 Thread Mahmood Naderan
Hi, Following the guide, after building X86_SE I ran the hello program. However I faced an error mahmood@magma:gem5-stable$ build/X86_SE/m5.debug configs/example/se.py -c tests/test-progs/hello/bin/x86/linux/hello gem5 Simulator System. http://gem5.org gem5 is copyrighted software; use the --co

Re: [gem5-users] modifying compiler path

2011-07-03 Thread Mahmood Naderan
ok thanks -- // Naderan *Mahmood; ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

[gem5-users] which component works in FS or SE

2011-07-03 Thread Mahmood Naderan
Hi there Is there any statement about which components in the src folder work in FS or SE mode? For example, if I modify/add a new class for preteching in "/home/mahmood/gem5/src/mem/cache/prefetch" or modified something in BTB in "/home/mahmood/gem5/src/cpu/o3/" should I use FS or SE mode for si

[gem5-users] invalid syntax in "/sbin/m5 dumpresetstats"

2011-07-03 Thread Mahmood Naderan
Hi When I want to debug with gdb, it complains about an invalid syntax mahmood@srv:gem5$ gdb build/ALPHA_FS/m5.debug GNU gdb (GDB) 7.1-ubuntu Copyright (C) 2010 Free Software Foundation, Inc. License GPLv3+: GNU GPL version 3 or later This is free software: you a

Re: [gem5-users] invalid syntax in "/sbin/m5 dumpresetstats"

2011-07-04 Thread Mahmood Naderan
This is what I did: 1- mahmood@srv:gem5$ build/ALPHA_FS/m5.debug configs/example/fs.py --script=configs/parsec/runscript.rcS gem5 Simulator System. http://gem5.org gem5 is copyrighted software; use the --copyright option for details. gem5 compiled Jul 4 2011 11:01:55 gem5 started Jul 4 2011 16:

Re: [gem5-users] invalid syntax in "/sbin/m5 dumpresetstats"

2011-07-04 Thread Mahmood Naderan
ok. I attached the program to gdb mahmood@srv:gem5$ gdb --arg build/ALPHA_FS/m5.debug configs/example/fs.py --script=configs/parsec/runscript.rcS Reading symbols from /home/mahmood/gem5/build/ALPHA_FS/m5.debug...done. (gdb) b main Breakpoint 1 at 0x409ab3: file build/ALPHA_FS/sim/main.cc, lin

Re: [gem5-users] invalid syntax in "/sbin/m5 dumpresetstats"

2011-07-04 Thread Mahmood Naderan
Why debugging with gdb is so hard in gem5? I have worked with some other programs that are more gdb friendly than gem5. For example, in Flexus, if -gdb is passed to the command line, a PID is given to the user so that he can attach gdb to that PID. Then when ever a segmentation fault occurs, the g

[gem5-users] panic error while loading a checkpoint

2011-07-08 Thread Mahmood Naderan
Hi I faced a panic error after loading a checkpoint for timing simulation I used this command first: mahmood@orca:gem5$ build/ALPHA_FS/m5.opt configs/example/fs.py -n 2 --script=configs/parsec/blackscholes_2c_simsmall_ckpts.rcS Then a checkpoint was created. mahmood@orca:gem5$ ls -R m5out/ m5out

[gem5-users] build error on gcc-4.6

2011-07-13 Thread Mahmood Naderan
I am trying to compile gem5 with gcc-4.6 however there are some errors regarding uninitialized const variables build/X86_FS/arch/x86/decoder.cc:6542:42: error: uninitialized const 'dummyExtMachInst' [-fpermissive] The line is: static const ExtMachInst dummyExtMachInst; What is the initial value

Re: [gem5-users] build error on gcc-4.6

2011-07-13 Thread Mahmood Naderan
well I removed "const" and temporarily fixed that. -- // Naderan *Mahmood; ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

[gem5-users] Still

2011-07-13 Thread Mahmood Naderan
Recently I have asked a question about "invalid microop". Still the problem exist. I have some other questions that may help fixing that error. 1- I built X86_FS/m5.opt and used x86_64-vmlinux-2.6.22.9. I have to say that I manually added self.kernel = binary('x86_64-vmlinux-2.6.22.9') to makeLinu

[gem5-users] Still "invalid microop"

2011-07-13 Thread Mahmood Naderan
Recently I have asked a question about "invalid microop". Still the problem exist. I have some other questions that may help fixing that error. 1- I built X86_FS/m5.opt and used x86_64-vmlinux-2.6.22.9. I have to say that I manually added self.kernel = binary('x86_64-vmlinux-2.6.22.9') to makeLinu

[gem5-users] Switchover/Fastforwarding

2011-07-14 Thread Mahmood Naderan
Reagrading the Switchover/Fastforwarding it is stated: "Sampling can be implemented via your Python script." I want to know which python file? If I run build/X86_FS/m5.opt configs/example/fs.py --script=configs/boot/bzip.rcS should I modify fs.py? Where? -- // Naderan *Mahmood;

[gem5-users] difference between "timing" and "detailed"

2011-07-14 Thread Mahmood Naderan
maybe the question is so simple, but what is the difference between "-d detailed" and "-t timing"? -- // Naderan *Mahmood; ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

[gem5-users] Create a checkpoint N cycles after "Starting simulation..."

2011-07-14 Thread Mahmood Naderan
When the benchmarks is started a message is shown that says: info: Entering event queue @ 5125369463500. Starting simulation... Is there any way to grab that cycle number and tell the simulator to create a checkpoint after 5125369463500+N cycles? --take-checkpoints option gets an explicit numbe

[gem5-users] Remote gdb clearSingleStep not implemented in x86!

2011-07-14 Thread Mahmood Naderan
Hi In the gdb I run: (gdb) target remote :7001 Immediately in the gdb console I get Remote debugging using :7001 Remote communication error: Connection reset by peer. And in the m5.opt terminal, I see 18436418000: system.remote_gdb: remote gdb attached panic: Remote gdb clearSingleStep not imple

[gem5-users] problem with restoring a checkpoint

2011-07-15 Thread Mahmood Naderan
dear all, According to the documentation, to resume simulation from a checkpoint, this command is used: build/X86_FS/m5.opt config/example.fs.py -r 1 Form my understanding, that command is run from the gem5 root folder. I want to know how it recognize which checkpoint to restore. Because when I r

Re: [gem5-users] problem with restoring a checkpoint

2011-07-15 Thread Mahmood Naderan
ok, since I changed the output directory, I must mention that directory again in restoring the checkpoint build/X86_FS/m5.opt -d results/bzip2/ configs/example/fs.py -r 1 Thanks -- // Naderan *Mahmood; ___ gem5-users mailing list gem5-users@gem5.org

Re: [gem5-users] difference between "timing" and "detailed"

2011-07-15 Thread Mahmood Naderan
I think "timing" in gem5 is the same as "functional simulation" like simics. Is that right? "detailed" simulation is the same as GEMS (ruby+opal). -- // Naderan *Mahmood; ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/l

Re: [gem5-users] difference between "timing" and "detailed"

2011-07-15 Thread Mahmood Naderan
>simple CPU What do you mean by simple cpu? Is that an inorder cpu with 5 stage pipeline wihtout ROB? one execution unit, no register renaming If I want to work with branch predictor (BTB) and prefetcher, which is mode is most suitable? detailed, timing? my guess is to use SE mode with detailed?

[gem5-users] copyRegs not implemented for x86!

2011-07-15 Thread Mahmood Naderan
Is there any suggestion for the error I get for this command: build/X86_SE/m5.opt -d results/bzip2/ configs/example/se.py --caches --l2cache -d --fast-forward=1 --maxinsts=1000 -s --l2_size 512kB -n 1 -c ~/spec-cpu2006-x86_64/benchspec/CPU2006/401.bzip2/exe/bzip2_base.amd64-m64-gcc44-nn -o

Re: [gem5-users] difference between "timing" and "detailed"

2011-07-15 Thread Mahmood Naderan
What I found suitable for my work is SE mode and O3cpu (fs.py and -d). Thanks. -- // Naderan *Mahmood; ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/mailman/listinfo/gem5-users

Re: [gem5-users] copyRegs not implemented for x86!

2011-07-15 Thread Mahmood Naderan
thanks I updated my gem5 mahmood@mpc:gem5$ hg pull http://repo.gem5.org/gem5 pulling from http://repo.gem5.org/gem5 searching for changes adding changesets adding manifests adding file changes added 28 changesets with 219 changes to 201 files (run 'hg update' to get a working copy) mahmood@mpc:gem

Re: [gem5-users] Create a checkpoint N cycles after "Starting simulation..."

2011-07-16 Thread Mahmood Naderan
You mean m5 checkpoint 1000 ./benchmark-run According to term "delay", I will interpret that "run the benchmak and after 10M tick create a checkpoint". Then this is what I am looking for thanks -- // Naderan *Mahmood; ___ gem5-users mailing list

[gem5-users] some questions

2011-07-16 Thread Mahmood Naderan
Hi I have some questions so I packed them in one email. 1- I see in some posts that there are --l1latency=5ns and --l2latency=15ns, however in the fs.py usage they are not listed. When I want to use them it says: se.py: error: no such option: --l2latency where is my fault? 2- I know how to define

  1   2   3   4   5   6   7   >