gEDA-user: logic analyzers, verilog, and gtkwave...

2009-08-09 Thread DJ Delorie

Update... I'm still working on my SDRAM interface board:
http://www.delorie.com/electronics/sdram/

I've been working on getting the sdram chip running at full speed
(half speed works fine), so it's down to timing issues.  I decided to
remove the logic analyzer connector from the SDRAM side to clean up
the signals (we've discussed this issue before), but I still need to
debug the signals on that side.  Plus, I need to check the relative
timing of the read clock and the read data - i.e. the signals *inside*
the chip.

So, I decided to put a logic anaylzer *inside* the FPGA.  It can watch
the key signals at the right points, won't mess up the signal
integrity, and I can get the data out on the MCU side anyway.

The LA module I wrote is a DDR dual-bank capture, so it runs at 640
Ms/s (~1.5nS resolution), each sample 36 bits wide (this is limited by
the small FPGA I chose), up to 1024 samples between the two banks.
The MCU on the board reads the samples out through the mcu addr/data
bus it already has with the FPGA and feeds the samples out over the
USB.

The console app I'm using on the PC to talk to the board sees the
samples come across, and saves them to disk.  A perl script turns them
into a VCD file that gtkwave can read :-)

Question: Can gtkwave be told to break up a bus into its component
signals?  My hardware LA can do this, it's really handy when you're
trying to debug glitches relating to edge timing.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: logic analyzers, verilog, and gtkwave...

2009-08-09 Thread Larry Doolittle
DJ -

On Sun, Aug 09, 2009 at 05:51:40AM -0400, DJ Delorie wrote:
 The LA module I wrote is a DDR dual-bank capture, [chop]
 A perl script turns them into a VCD file that gtkwave can read :-)

Awesome.  I hope you'll write this up more, and publish code.
 
 Question: Can gtkwave be told to break up a bus into its component
 signals?

It's in the Edit menu, called Expand (F3).

   - Larry


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: logic analyzers, verilog, and gtkwave...

2009-08-09 Thread asomers
Have you heard of the free logic analyzer?
http://www.sump.org/projects/analyzer/ .  It uses a xilinx dev board
and java control software.  It has also been ported to several other
FPGA boards.  The serial interface might be simple enough for you to
use with your project.  At my last job, I used this board for
debugging SPI and I2C interfaces.

Unfortunately, the author seems to have stopped maintaining it.  The
last release was 2.5 years ago and I never heard back regarding a
patch I submitted.
-Alan

On Sun, Aug 9, 2009 at 6:51 AM, Larry Doolittleldool...@recycle.lbl.gov wrote:
 DJ -

 On Sun, Aug 09, 2009 at 05:51:40AM -0400, DJ Delorie wrote:
 The LA module I wrote is a DDR dual-bank capture, [chop]
 A perl script turns them into a VCD file that gtkwave can read :-)

 Awesome.  I hope you'll write this up more, and publish code.

 Question: Can gtkwave be told to break up a bus into its component
 signals?

 It's in the Edit menu, called Expand (F3).

   - Larry


 ___
 geda-user mailing list
 geda-user@moria.seul.org
 http://www.seul.org/cgi-bin/mailman/listinfo/geda-user



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread al davis
On Saturday 01 August 2009, Bob Paddock wrote:
 http://tech.slashdot.org/story/09/08/01/2114210/Cheap-Cross-P
latform-Electronic-Circuit-Simulation-Software?from=rss

 Cheap, Cross-Platform Electronic Circuit Simulation
 Software?

 dv82 writes I teach circuits and electronics at the
 undergraduate level, and have been using the free student
 demo version of OrCad for schematic capture and simulation
 because (a) it comes with the textbook and (b) it's powerful
 enough for the job. Unfortunately OrCad runs only under
 Windows, and students increasingly are switching to Mac (and
 some Linux netbooks). Wine and its variants will not run
 OrCad, and I don't wish to require students to purchase
 Windows and run with a VM. The only production-quality
 cross-platform CAD tool I have found so far is McCad, but its
 demo version is so limited in total allowed nets that it
 can't even run a basic opamp circuit with a realistic 741
 opamp model. gEDA is friendly to everything BUT Windows, and
 is nowhere near as refined as OrCad. I would like students to
 be able to run the software on their laptops without a
 network connection, which eliminates more options. Any
 suggestions?

I was out of town when this hit (which was probably fortunate).

What are we going to do about it?

If we want people to use our tools ..

1. They need to be the best.

2. We need to provide a migration path -- in and out.


Gnucap works fine, but there is a problem with the geda 
interface.  Does anyone want to help?



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread John Doty

On Aug 9, 2009, at 9:56 AM, al davis wrote:

 On Saturday 01 August 2009, Bob Paddock wrote:
 http://tech.slashdot.org/story/09/08/01/2114210/Cheap-Cross-P
 latform-Electronic-Circuit-Simulation-Software?from=rss

 Cheap, Cross-Platform Electronic Circuit Simulation
 Software?

 dv82 writes I teach circuits and electronics at the
 undergraduate level, and have been using the free student
 demo version of OrCad for schematic capture and simulation
 because (a) it comes with the textbook and (b) it's powerful
 enough for the job. Unfortunately OrCad runs only under
 Windows, and students increasingly are switching to Mac (and
 some Linux netbooks). Wine and its variants will not run
 OrCad, and I don't wish to require students to purchase
 Windows and run with a VM. The only production-quality
 cross-platform CAD tool I have found so far is McCad, but its
 demo version is so limited in total allowed nets that it
 can't even run a basic opamp circuit with a realistic 741
 opamp model. gEDA is friendly to everything BUT Windows, and
 is nowhere near as refined as OrCad. I would like students to
 be able to run the software on their laptops without a
 network connection, which eliminates more options. Any
 suggestions?

 I was out of town when this hit (which was probably fortunate).

 What are we going to do about it?

 If we want people to use our tools ..

 1. They need to be the best.

 2. We need to provide a migration path -- in and out.


 Gnucap works fine, but there is a problem with the geda
 interface.  Does anyone want to help?

What's the problem you perceive? The author seems primarily concerned  
with the lack of a Windows binary. The other common complaint that  
comes from that direction is that gEDA is a toolkit, not an  
integrated tool (but I say Hurray!).




 ___
 geda-user mailing list
 geda-user@moria.seul.org
 http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


John Doty  Noqsi Aerospace, Ltd.
http://www.noqsi.com/
j...@noqsi.com




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread Peter TB Brett
On Sun, 9 Aug 2009 11:56:22 -0400, al davis ad...@freeelectron.net wrote:

 [snip]

 gEDA is friendly to everything BUT Windows,

 [snip]
 
 I was out of town when this hit (which was probably fortunate).
 
 What are we going to do about it?

 [snip]

 ... there is a problem with the geda 
 interface.  Does anyone want to help?

Let's be more specific.

Does anyone want to help getting a Windows port working (reasonably)
smoothly?  Has anyone tested a Windows build recently?

Peter

-- 
Peter Brett pe...@peter-b.co.uk
Remote Sensing Research Group
Surrey Space Centre


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Progress with unified build

2009-08-09 Thread John Doty

On Aug 8, 2009, at 10:15 AM, Dan McMahill wrote:

 - you may want to have a set of symbols which include the footprint  
 name
 already (search for heavy symbol in the archives or wiki).   
 Others may
 not want this.  It is a personal preference thing and people tend to
 have strong opinions over which way is best.

I think it's a little more than personal preference. Adding  
attributes piecemeal to library symbols is quick and easy for small  
projects, but scales poorly to related collections of big projects.  
It's like software: a very simple C program is sensibly a single  
file, but bigger codes benefit from being split into reusable modules  
and header files. The analogy *.c - *.sch and *.h - *.sym is a way  
to understand this.

John Doty  Noqsi Aerospace, Ltd.
http://www.noqsi.com/
j...@noqsi.com




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread Gareth Edwards
2009/8/9 Peter TB Brett pe...@peter-b.co.uk:

 Does anyone want to help getting a Windows port working (reasonably)
 smoothly?  Has anyone tested a Windows build recently?

I could pitch in on that. Good place to start?

Cheers
Gareth


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread Kai-Martin Knaak
On Sun, 09 Aug 2009 10:01:30 -0600, John Doty wrote:

 2. We need to provide a migration path -- in and out.


 Gnucap works fine, but there is a problem with the geda interface. 
 Does anyone want to help?
 
 What's the problem you perceive? 

The most obvious obstacle in the migration path is the lack of conversion 
tools. There is no way to go to and from other EDA suites that play in 
the same league (eagle, kicad, protel98).

In the context of the slashdot article: Gschem does not interface very 
well with gnucap. There is no student-proof to just simulate a section of 
a schematic. Instead, it takes a rather tedious procedure just to get the 
response of a LC filter.


 The other common complaint that comes
 from that direction is that gEDA is a toolkit, not an integrated tool
 (but I say Hurray!).

Carthaginem esse delendam?

---(kaimartin)---
-- 
Kai-Martin Knaak
Öffentlicher PGP-Schlüssel:
http://pgp.mit.edu:11371/pks/lookup?op=getsearch=0x6C0B9F53



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: logic analyzers, verilog, and gtkwave...

2009-08-09 Thread DJ Delorie

 Awesome.  I hope you'll write this up more, and publish code.

Yup, I plan on it.

 It's in the Edit menu, called Expand (F3).

Sweet.  Hmmm... Expand again on one of those individual lines should
re-combine them.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread Peter TB Brett
On Sun, 9 Aug 2009 17:17:17 +0100, Gareth Edwards
gar...@edwardsfamily.org.uk wrote:
 2009/8/9 Peter TB Brett pe...@peter-b.co.uk:

 Does anyone want to help getting a Windows port working (reasonably)
 smoothly?  Has anyone tested a Windows build recently?
 
 I could pitch in on that. Good place to start?

If I remember correctly... *searches mail archives*

http://www.geda.seul.org/mailinglist/geda-dev126/msg00029.html

That's probably a good place to start.

I suggest the following algorithm:

1. Try something.

2. If it doesn't work, Google for a solution

3. If Google doesn't have answers, ask the list.

4. If the list doesn't have answers, file a bug and wait for someone to fix
it or find a workaround.

5. Goto 1.

Cheers,

Peter ;-)

( who knows literally nothing about building GTK apps on Windows)


-- 
Peter Brett pe...@peter-b.co.uk
Remote Sensing Research Group
Surrey Space Centre


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: logic analyzers, verilog, and gtkwave...

2009-08-09 Thread DJ Delorie

 Have you heard of the free logic analyzer?
 http://www.sump.org/projects/analyzer/

Looks like an external analyzer, I have one of those.  I did look at a
number of other chipscope-like projects, too.  None of them really
did what I wanted, and mine was trivial enough to write in a few hours
anyway.  Plus, I need to get *more* samples per second :-) I think I
can get it up to about 2Gs/s if I can figure out how to get a
three-phase clock.

The fact that I use an old-school memory bus for the external I/O
makes pretty much any other project not as useful as it could be.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread DJ Delorie

 Does anyone want to help getting a Windows port working (reasonably)
 smoothly?

I would.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread Gareth Edwards
2009/8/9 Peter TB Brett pe...@peter-b.co.uk:

 If I remember correctly... *searches mail archives*

 http://www.geda.seul.org/mailinglist/geda-dev126/msg00029.html

 That's probably a good place to start.


OK, I'll have a play.

Cheers
Gareth


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread John Doty

On Aug 9, 2009, at 11:09 AM, Kai-Martin Knaak wrote:

 On Sun, 09 Aug 2009 10:01:30 -0600, John Doty wrote:

 2. We need to provide a migration path -- in and out.


 Gnucap works fine, but there is a problem with the geda interface.
 Does anyone want to help?

 What's the problem you perceive?

 The most obvious obstacle in the migration path is the lack of  
 conversion
 tools.

With the exception of the flow from gschem to layout in another  
suite, which works radically well. How to generalize? Well, if you  
want to export schematics instead of just netlists and BOM's, a  
gnetlist back end needs access to all the schematic data, not just a  
subset. The barrier here is all of the unnecessarily hard-wired  
behavior in the gnetlist front end.

I'd still like to collaborate with you here: you seem to have  
penetrated the front end logic, while the back end is much simpler.  
Let's start to refactor gnetlist to make it even more flexible.

 There is no way to go to and from other EDA suites that play in
 the same league (eagle, kicad, protel98).

Foreign schematic to gEDA schematic requires either some new  
framework or a collection of individual tools. However, you can merge  
in a foreign netlist by parsing it, outputting a .tsv version, and  
using pins2gsch.


 In the context of the slashdot article: Gschem does not interface very
 well with gnucap. There is no student-proof to just simulate a  
 section of
 a schematic. Instead, it takes a rather tedious procedure just to  
 get the
 response of a LC filter.

The tools that do what you want are fritterware that doesn't scale  
well. While with gEDA I can check a project out from CVS, type  
something like make ChainTest.out, have all the subcircuit netlists  
and stimulus files built, data reduction programs compiled, SPICE  
run, data reduced, output generated...

Now *that's* how you eliminate *real* tedious, productivity-sapping  
procedure. I don't even remember how all these machinations work, but  
I can read the Makefiles if I need to know.



 The other common complaint that comes
 from that direction is that gEDA is a toolkit, not an integrated tool
 (but I say Hurray!).

 Carthaginem esse delendam?

Got the job done, didn't it? Does every EDA tool have to turn into  
fritterware for the computer illiterate? I'm grateful there's one  
that hasn't.

John Doty  Noqsi Aerospace, Ltd.
http://www.noqsi.com/
j...@noqsi.com




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread Peter TB Brett
On Sun, 9 Aug 2009 12:09:01 -0600, John Doty j...@noqsi.com wrote:

 With the exception of the flow from gschem to layout in another  
 suite, which works radically well. How to generalize? Well, if you  
 want to export schematics instead of just netlists and BOM's, a  
 gnetlist back end needs access to all the schematic data, not just a  
 subset. The barrier here is all of the unnecessarily hard-wired  
 behavior in the gnetlist front end.

One project I've had in mind for a while is to write a geda-netlist
program in (almost) pure Scheme, which when run as gnetlist behaves the
same as the current C gnetlist, but when run as geda-netlist uses new
backends which have a lot more access to the netlist internals in order to
get full control over the way that the schematics and symbols are
processed.

This is one of those things that I will do Soon (tm).

Peter ;-)

-- 
Peter Brett pe...@peter-b.co.uk
Remote Sensing Research Group
Surrey Space Centre


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread John Doty

On Aug 9, 2009, at 12:45 PM, Peter TB Brett wrote:

 On Sun, 9 Aug 2009 12:09:01 -0600, John Doty j...@noqsi.com wrote:

 With the exception of the flow from gschem to layout in another
 suite, which works radically well. How to generalize? Well, if you
 want to export schematics instead of just netlists and BOM's, a
 gnetlist back end needs access to all the schematic data, not just a
 subset. The barrier here is all of the unnecessarily hard-wired
 behavior in the gnetlist front end.

 One project I've had in mind for a while is to write a geda-netlist
 program in (almost) pure Scheme,

Would you parse the schems/symbols with libgeda?

 which when run as gnetlist behaves the
 same as the current C gnetlist, but when run as geda-netlist

Not clear to me that you need that. Backends that limit themselves to  
the old API could get the old behavior.

 uses new
 backends which have a lot more access to the netlist internals in  
 order to
 get full control over the way that the schematics and symbols are
 processed.

The longer I think about this, the more useful applications I see.


 This is one of those things that I will do Soon (tm).

If you want help...


 Peter ;-)

 -- 
 Peter Brett pe...@peter-b.co.uk
 Remote Sensing Research Group
 Surrey Space Centre


 ___
 geda-user mailing list
 geda-user@moria.seul.org
 http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


John Doty  Noqsi Aerospace, Ltd.
http://www.noqsi.com/
j...@noqsi.com




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: build-system branch merged

2009-08-09 Thread Stefan Petersen
Peter TB Brett wrote:
 Hi everybody,
 
 The build-system branch has now been merged.  Please report any problems to 
 the usual places.

Hello!

Nice work! I download gaf once in a fortnight and try to build it. I
tried now. As always I stumbled into problem building _docs_, always
this docs things. In this case it was gsymcheck's doc:
make[3]: Entering directory
`/home/spe/projects/geda/git-src/gaf/gsymcheck/docs'
LC_NUMERIC=C groff -man -T html gsymcheck.1  gsymcheck.html.tmp \
   mv -f gsymcheck.html.tmp gsymcheck.html
groff: can't find `DESC' file
groff:fatal error: invalid device `html'
make[3]: *** [gsymcheck.html] Error 3

What I did on my Ubuntu system was to install the package groff. I
already had the package groff-base installed. Then the build proceeded
without complaining.

I tried to Google it, but find nil. Now this is at least a reference for
future generations. ;)

Anyhow, a way to disable documentation generation would be nice, since
it always seems to bite someones behind.

Thanks and regards,
/Stefan


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread Kai-Martin Knaak
On Sun, 09 Aug 2009 19:45:34 +0100, Peter TB Brett wrote:

 One project I've had in mind for a while is to write a geda-netlist

And thus increment the number of netlisters associated with the geda 
project by one ;-)

How will you make sure, that the new netlister exactly replicates the old 
behavior? Does this include the areas with room for improvement? Is 
there some comprehensive white-paper that specifies how gnetlist should 
behave when given arbitrary, syntactical valid *.sch data?


 program in (almost) pure Scheme,

What is the share of users/developers that feel comfortable with scheme?
Probably, the choice of programming language is less an issue than the 
quantity and quality of documentation.

---(kaimartin)---
-- 
Kai-Martin Knaak
Öffentlicher PGP-Schlüssel:
http://pgp.mit.edu:11371/pks/lookup?op=getsearch=0x6C0B9F53



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Yet another netlister

2009-08-09 Thread Kai-Martin Knaak
On Sat, 01 Aug 2009 10:16:07 -0600, John Doty wrote:

 Just about any language works naturally on Unix these days,

Not quite. C can draw on a host of system functions and libs that no 
other environtment variables, inter process communication via dbus and 
the various ways to interact with the system logs are just some of them. 
This infrastructure is one of the reasons why there are special obstacles 
when building geda/pcb for windows. 


 For me that's not the issue: the issue is that you're putting yet
 another gnetlist behavior out of reach of back end control.

You mean, the behavior of a netlister should depend on the order of 
components in the *.sch file?  Please give an example if you seriously 
think so. 

---(kaimartin)---
-- 
Kai-Martin Knaak
Öffentlicher PGP-Schlüssel:
http://pgp.mit.edu:11371/pks/lookup?op=getsearch=0x6C0B9F53



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread Kai-Martin Knaak
On Sun, 09 Aug 2009 12:09:01 -0600, John Doty wrote:

 The most obvious obstacle in the migration path is the lack of
 conversion
 tools.
 
 With the exception of the flow from gschem to layout in another suite,
 which works radically well.

This is not conversion, but a work flow partially in geda, partially in 
some other suite. As nice as it is, it does not make the switch to and 
from geda any easier. The missing feature is the ability to import and 
export symbols, schematics, footprints and layouts to and from other 
suites. 

---(kaimartin)---
-- 
Kai-Martin Knaak
Öffentlicher PGP-Schlüssel:
http://pgp.mit.edu:11371/pks/lookup?op=getsearch=0x6C0B9F53



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: logic analyzers, verilog, and gtkwave...

2009-08-09 Thread Larry Doolittle
DJ -

On Sun, Aug 09, 2009 at 01:22:20PM -0400, DJ Delorie wrote:
  It's in the Edit menu, called Expand (F3).
 Sweet.  Hmmm... Expand again on one of those individual lines should
 re-combine them.

No, you have to select a bunch of individual signals
(they need not be the full set of the original bus, or
even all related) and then Combine Up (or Combine Down).
That step is smart enough to label continuous bits as you'd
expect.  Non-related bits get an artificial tag.

   - Larry


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Yet another netlister

2009-08-09 Thread John Doty

On Aug 9, 2009, at 3:19 PM, Kai-Martin Knaak wrote:



 For me that's not the issue: the issue is that you're putting yet
 another gnetlist behavior out of reach of back end control.

 You mean, the behavior of a netlister should depend on the order of
 components in the *.sch file?  Please give an example if you seriously
 think so.

No. The order isn't the issue. The issue is that the front end should  
not arbitrarily restrict which attributes the back end gets to see.  
In this case the arbitrary selection is based on order, but it's the  
arbitrariness that's the problem. not the order.

A back end that processes hierarchy on its own should see all of the  
source= attributes. A more sophisticated BOM than we have might  
usefully choose from multiple manufacturers' part numbers.

But remember that neither you nor I can anticipate what information a  
future back end might need. Don't focus narrowly on specific  
scenarios. Let the back ends decide what they need to see. Don't hard  
wire decisions in the front end code. In this case, remove the  
arbitrary selection from the hard wired code and put it in the middle  
layer, where the back end can bypass it as needed.

This kind of flexibility is gEDA's greatest strength. DO NOT DAMAGE  
IT. Don't hard wire anything new. Instead, let's improve it, move the  
decisions to the middle layer and back ends.

John Doty  Noqsi Aerospace, Ltd.
http://www.noqsi.com/
j...@noqsi.com




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: logic analyzers, verilog, and gtkwave...

2009-08-09 Thread DJ Delorie

 No, you have to select a bunch of individual signals (they need not
 be the full set of the original bus, or even all related) and then
 Combine Up (or Combine Down).

Yup, I figured that out quickly enough.  I just thought automatic
un-expand would be an obvious thing, but it didn't work when I tried
it.  I.e. the fact that it wasn't a toggle was surprising.

What my logic analyzer does... well, download it if you have windows
or wine: http://www.pctestinstruments.com/downloads.htm (it runs in
demo mode if you don't have the hardware).

Anyway... if you have a bus (they call them groups) and expand it,
it expands like a tree view - it shows the bus line *and* the
component wires under it:

  http://www.delorie.com/pcb/tmp/logicport-bus.png

Here, the RAMP DATA bus is expanded into Counter5 through Counter10,
but you can see both the wire signals and the numerical combined value
in the display.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gEDA just hit SlashDotOrg

2009-08-09 Thread John Doty

On Aug 9, 2009, at 3:37 PM, Kai-Martin Knaak wrote:

 On Sun, 09 Aug 2009 12:09:01 -0600, John Doty wrote:

 The most obvious obstacle in the migration path is the lack of
 conversion
 tools.

 With the exception of the flow from gschem to layout in another  
 suite,
 which works radically well.

 This is not conversion, but a work flow partially in geda,  
 partially in
 some other suite. As nice as it is,

Name another tool that can do this as easily and flexibly as gEDA.

If we take gEDA's strengths for granted, we will lose them.

 it does not make the switch to and
 from geda any easier. The missing feature is the ability to import and
 export symbols, schematics, footprints and layouts to and from other
 suites.

So we need even greater flexibility. Less hard-wired, fewer barriers  
to arbitrary transformations.

John Doty  Noqsi Aerospace, Ltd.
http://www.noqsi.com/
j...@noqsi.com




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Yet another netlister

2009-08-09 Thread A.Burinskiy
John,

Do you mean that one day source= attribute is reference to schematic, 
another day it is something else? We have to stick to some reasonable 
meaning of all attributes, at list to be able to exchange libraries and 
collect our work over the years, isn't it?
Talking about ynetlist: it has exactly front, inner, and backend. I call 
it component/net collection, symbol elaboration, output netlist. By 
modifying only output I may create any netlist. But yet I do not see a 
reason why user should mangle with programming It is programmer 
responsibility to cover all needs.

Alex.


On 08/09/2009 04:43 PM, John Doty wrote:
 On Aug 9, 2009, at 3:19 PM, Kai-Martin Knaak wrote:


  
 For me that's not the issue: the issue is that you're putting yet
 another gnetlist behavior out of reach of back end control.

 You mean, the behavior of a netlister should depend on the order of
 components in the *.sch file?  Please give an example if you seriously
 think so.
  

 No. The order isn't the issue. The issue is that the front end should
 not arbitrarily restrict which attributes the back end gets to see.
 In this case the arbitrary selection is based on order, but it's the
 arbitrariness that's the problem. not the order.

 A back end that processes hierarchy on its own should see all of the
 source= attributes. A more sophisticated BOM than we have might
 usefully choose from multiple manufacturers' part numbers.

 But remember that neither you nor I can anticipate what information a
 future back end might need. Don't focus narrowly on specific
 scenarios. Let the back ends decide what they need to see. Don't hard
 wire decisions in the front end code. In this case, remove the
 arbitrary selection from the hard wired code and put it in the middle
 layer, where the back end can bypass it as needed.

 This kind of flexibility is gEDA's greatest strength. DO NOT DAMAGE
 IT. Don't hard wire anything new. Instead, let's improve it, move the
 decisions to the middle layer and back ends.

 John Doty  Noqsi Aerospace, Ltd.
 http://www.noqsi.com/
 j...@noqsi.com




 ___
 geda-user mailing list
 geda-user@moria.seul.org
 http://www.seul.org/cgi-bin/mailman/listinfo/geda-user




-- 

Alexander Burinskiy
IC design
San Jose, CA, 95129
(408)230-3458 (cell)



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user