[casper] Re: Sync ADC16 in ROACH2

2023-06-07 Thread Wang
roach8: deskew roach8: sync [image: 微信图片_20230607173048.png] Wang 在2023年6月6日星期二 UTC+8 20:03:16 写道: > Hi everyone, > > When I validated my design on ROACH2, I found that there were some issues > with the synchronizati

[casper] Sync ADC16 in ROACH2

2023-06-06 Thread Wang
? Could you please send me a copy of your mlib_devel? I suspect it is caused by the version of mlib_devel. BW! Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this group and stop receiving e

[casper] Re: The output data of the fft_biplex_real_2x

2023-04-21 Thread Wang
ose: > 768-1023. > > Does anyone know the F-engine design article? It is better to have fft and > cornerturn module design ideas, I would like to read it! > > I really hope someone can communicate with me, I would appreciate it! > > BW! > Wang > -- You received this m

[casper] Unable to program bit stream from filename.bof

2023-04-21 Thread Wang
rdev gpio preconfig donecat: read error:roach release config called Invalid argument /dev/roach # Does anyone have any experience in solving this problem? BW! Wang -- You received this message because you are subscribed to the Google Groups "c

[casper] The output data of the fft_biplex_real_2x

2023-04-11 Thread Wang
ve fft and cornerturn module design ideas, I would like to read it! I really hope someone can communicate with me, I would appreciate it! BW! Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this group an

Re: [casper] Roach monitor and management subsystem

2023-03-22 Thread Wang
Hi Russ, Thank you very much! Cheer, Wang 在2023年3月23日星期四 UTC+8 12:05:17 写道: > Hi Wang, > > > I searched on Github and found roachnest.py. > > https://github.com/telegraphic/roachnest​ > > > Thanks, > > Russ​ > -- > *From

Re: [casper] Roach monitor and management subsystem

2023-03-22 Thread Wang
or roachnest.py? Cheers, Wang 在2023年3月23日星期四 UTC+8 01:33:26 写道: > Hi! > > I do not have experience on ROACH but found this: > > http://telegraphic.github.io/roachnest/ > > Cheers, > Kaj > > On 22/03/2023 18:54, Wang wrote: > > Hi CASPER, > > > > I want

[casper] Roach monitor and management subsystem

2023-03-22 Thread Wang
e have a backup? This subsystem works at ROACH. Does ROACH2 work as well? I still don't know much about how this subsystem is created and how it works. Do you have more detailed documentation? I would appreciate it if anyone would reply! BW Wang -- You received this message because you are subs

Re: [casper] Save the raw data output from the ADC

2023-03-08 Thread Wang
Hi Indrajit, May I ask what is the environment in which you built the model? And do you have a description of the model? The model is not complete after I opened it. Regards Wang 在2023年3月8日星期三 UTC+8 15:20:54 写道: > Hi Wang, > > > Generally, I use Burst mode (Non continues ) of

Re: [casper] Save the raw data output from the ADC

2023-03-07 Thread Wang
Hi Indrajit, Thank you very much for your sharing! The file has access permission and I have applied for it. BW! Wang 在2023年3月8日星期三 UTC+8 15:20:54 写道: > Hi Wang, > > > Generally, I use Burst mode (Non continues ) of RAW voltage recording for > ADC testing and other testing, He

[casper] Save the raw data output from the ADC

2023-03-07 Thread Wang
reply me! BW! Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this group and stop receiving emails from it, send an email to casper+unsubscr...@lists.berkeley.edu. To view this discussion on the

[casper] ROACH2 output issues

2022-12-21 Thread Wang
is larger than the packet size. Is there any possible reason to send the packet only once? If anyone can help me, I would be grateful! BW! Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this grou

[casper] Re: Set ROACH2 to power on automatically

2022-12-15 Thread Wang
I found it! 在2022年12月15日星期四 UTC+8 14:49:05 写道: > Hi CASPER, > > I want to set ROACH2 to start automatically with power on. What should I > do? > Do you have relevant materials? If so, please send me a copy. > > BW, > Wang > -- You received this message because you a

[casper] Set ROACH2 to power on automatically

2022-12-14 Thread Wang
Hi CASPER, I want to set ROACH2 to start automatically with power on. What should I do? Do you have relevant materials? If so, please send me a copy. BW, Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubs

[casper] Re: Questions about ROACH2 tutorial 1

2022-12-14 Thread Wang
Hi nurzhan, Have you set the linux system language to English? When I set it to Chinese at first, there were some errors. Later, when I used English mode, these problems disappeared. BW! Wang 在2022年12月12日星期一 UTC+8 16:50:16 写道: > Hi Wang, > > I have the same issue. Could you tell did

Re: [casper] PAPER Correlater's Corner Turner Modes and MIRIAD Channel Mapping

2022-11-27 Thread Wang
the model of 6 F-engines by the same way? Cheers, Wang 在2022年11月27日星期日 UTC+8 04:29:19 写道: > > > hi wang, > > a bit more about the corner turner - > you probably know about this, but just in case: > > for an FX correlator, there's an F engine for each antenna and each

Re: [casper] PAPER Correlater's Corner Turner Modes and MIRIAD Channel Mapping

2022-11-26 Thread Wang
Thank you very much dan. cheers, Wang 在2022年11月26日星期六 UTC+8 12:31:58 写道: > > > hi wang, > > i can only answer two of your questions: > > 1) > the "corner turner" is also called a "data re-order" or a "matrix > transpose". > it'

[casper] PAPER Correlater's Corner Turner Modes and MIRIAD Channel Mapping

2022-11-25 Thread Wang
I'd appreciate it if someone could help me with that! BW! Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this group and stop receiving emails from it, send an email to casper+unsubscr...@l

Re: [casper] fft_biplex_real_2x Block

2022-11-10 Thread Wang
Hi everyone, I solved the problem. 在2022年11月10日星期四 UTC+8 15:26:11 写道: > Hi Andrew, > > Thanks for your advice. > I first set to the mlib_devel version of the build model to view the > model. > Then set the correct parameters on my device. > > cheers > Wang > &g

Re: [casper] fft_biplex_real_2x Block

2022-11-09 Thread Wang
Hi Andrew, Thanks for your advice. I first set to the mlib_devel version of the build model to view the model. Then set the correct parameters on my device. cheers Wang 在2022年11月10日星期四 UTC+8 14:55:59 写道: > Hi Wang > > It may be that the version of the toolflow used to create t

[casper] Novice instance of Ruby interacting with ROACH

2022-10-11 Thread Wang
that you can learn from? Can you share your learning experience, if possible? I would appreciate it very much if you would help me with it. BW Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this grou

[casper] PAPER Correlator bulids gem files

2022-09-25 Thread Wang
in the directory where I'm looking at the source code. I also have a question, when I use the Ruby script to interact with the ROACH board, can I just run it as if it were a Python script? Can someone help me? BW! Wang -- You received this message because you are subscribed to the Google Groups "c

[casper] Re: Compilation issues related to 'rcs','PCORE' and 'gmake'

2022-09-07 Thread Wang
encounter this error. BW, Wang 在2022年9月6日星期二 UTC+8 21:42:58 写道: > Hi CASPER, > > I copied the 'name_core.ngc' file into > mlib_devel-roach2/xps_base/XPS_ROACH2_base/pcores/. > Then I commented out the code that prompts error. > At this point, the 'name_core. ngc' file is found in th

[casper] Re: Compilation issues related to 'rcs','PCORE' and 'gmake'

2022-09-06 Thread Wang
tion. Like the questioner, I could not find the top_timing_summary_routed.rpt and system_map.twr file mentioned by the respondent. Does anyone have experience in solving this problem? I really hope you can take the time to reply to me, I will be very grateful. Regrads, Wang 在2022年9月5日星期一 UTC+8

[casper] Compilation issues related to 'rcs','PCORE' and 'gmake'

2022-09-04 Thread Wang
r is not. Meanwhile, I confirm that I have set 'sudo lN-s make gmake'. Could someone help me answer these questions? I would be very grateful! BW, Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscrib

Re: [casper] Upgrade the MATLAB version of mlib_devel

2022-08-23 Thread Wang
Hi Mathews Morag, Thank you very much for your reply! Regards, Wang 在2022年8月23日星期二 UTC+8 15:43:52 写道: > Hi Wang, > > Depending on what OS version you're using, you may also run into a few > library incompatibility issues and missing dependencies for later versions > of

[casper] Upgrade the MATLAB version of mlib_devel

2022-08-22 Thread Wang
Hi everyone, Now, I want to upgrade my mlib_devel to a new version Matlab. Upgrade from Matlab2019a to Matlab2021a. How should I operate it? BW, Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from

Re: [casper] Copy .fpg file to ROACH2 server

2022-08-01 Thread Wang
Hi Michael, Thank you very much!The explanation is very detailed. I understand what you mean. Another question, could you please help me to look at the second picture of the first email, the user login at the end, is this normal? Have you ever encountered such a situation? Best, Wang 在2022年8

[casper] Problem with roach2 setup

2022-07-29 Thread Wang
It tells the loading problem,and star again and again . Has anyone met this before? Best Regards! Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this group and stop receiving emails from it, sen

[casper] ROACH account login issue

2022-07-13 Thread Wang
robe: FATAL: Could not load /lib/modules/3.7.0-rc2+/modules.dep: No sy . tcpborphserver: ... loading roach mmap driver ... insmod: can't read '/lib/modules/roach_mmap.ko': No such file or directory version *3* using mmap tcpborphserver3: about to go into background Debian GNU/Linux 6.0 192.168.100.2 t

Re: [casper] ROACH's filesystem

2022-06-30 Thread Wang
Hi Jonathon, I didn't express myself clearly before. I will look for 'filesystem' in the document you sent. Thank you Jonathon. Wang 在2022年7月1日星期五 UTC+8 01:46:40 写道: > Hi Wang, > > Sorry, I see now you were talking about the specific kernel file - not the > website. > > Fo

[casper] ROACH's filesystem

2022-06-30 Thread Wang
iki/ROACH_NFS_guide> [image: etch.png] I would be really appreciated if you reply! Thanks! Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this group and stop receiving emails from it, send an

Re: [casper] The link of " Setting Up BORPH on BEE2 " is invalid

2022-06-30 Thread Wang
Thank you jack! 在2022年6月30日星期四 UTC+8 23:23:52 写道: > HI Wang, > > That link works, you'll just have to click through to ignore the expired > https certificate :-S > > Could someone at Berkeley generate a new certificate :) ? > > J > > On Thu, 30 Jun 2022 at 05:0

[casper] Re: The link of " Setting Up BORPH on BEE2 " is invalid

2022-06-30 Thread Wang
I have sent another email, could you help me delete it? 在2022年6月30日星期四 UTC+8 12:05:53 写道: > Hi CASPER, > > I want to see how we can set BORPH on ROACH. > > BORPH - Casper (berkeley.edu) > <https://casper.astro.berkeley.edu/wiki/BORPH> > > Can you update the wiki

[casper] The link of " Setting Up BORPH on BEE2 " is invalid

2022-06-29 Thread Wang
Hi CASPER, I want to see how we can set BORPH on ROACH. BORPH - Casper (berkeley.edu) <https://casper.astro.berkeley.edu/wiki/BORPH> Can you update the wiki link? BW Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley

Re: [casper] PAPER Correlator EQ Settings

2022-06-24 Thread Wang
Thank you very much Micheal! cheers 在2022年6月24日星期五 UTC+8 22:40:37 写道: > Hi Wang, > > The memos are on github now. See: > https://github.com/casper-astro/publications/blob/master/Memos/files/p011.quant.pdf > > BW > > > -- > *Fr

[casper] PAPER Correlator EQ Settings

2022-06-24 Thread Wang
Hi CASPER, I am learning the PAPER correlator and there is a document link for EQ setting and I find I can't open it. https://casper.astro.berkeley.edu/wiki/PAPER_Correlator_EQ [image: EQ settings.png] I hope you can send me a copy of this document. BW Wang -- You received this message

Re: [casper] ROACH2 to implement F-engine

2022-06-24 Thread Wang
Hi andrew, Thank you very much! BW Wang 在2022年6月22日星期三 UTC+8 14:17:20 写道: > Hi Wang > > Some would say that it might be easier to buy a newer hardware platform, > as this would be supported by the latest tools, software versions etc. You > should maybe try build s

[casper] ROACH2 to implement F-engine

2022-06-21 Thread Wang
attention to when implementing these functions? Thanks Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this group and stop receiving emails from it, send an email to casper+unsubscr...@lists.berkeley.ed

[casper] Used to operate the ROACH2 operating system

2022-06-12 Thread Wang
computer was very slow, which affected my operation. What version of Linux system can you recommend? Thanks. Regards. Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this group and stop receiving e

Re: [casper] An error occurs when 'exportfs -a' is entered

2022-06-09 Thread Wang
Hi Marc, [image: rpcinfo-p.png] Thank you very much ! BW Wang 在2022年6月9日星期四 UTC+8 17:14:47 写道: > > > Hi > > It could be that NFS isn't registered with the portmapper, or the > portmapper isn't running. > > $ rpcinfo -p localhost > > should normally prin

[casper] An error occurs when 'exportfs -a' is entered

2022-06-08 Thread Wang
' or 'no_subtree_check' specified for export "NFS:to". Assuming default behaviour ('no_subtree_check'). NOTE: this default has changed since nfs-utils version 1.0.x May I ask what are the reasons for these? I would be really appreciated if you reply! Wang -- You received this message b

[casper] Some problems with ROACH2

2022-05-06 Thread Wang
connected? Is it ok to install katcp, and then it will be automatically connected? I would be really appreciated if you reply! Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this group and s

Re: [casper] IP hostname or address of FPGA board

2022-04-12 Thread Wang
Thank you very much Jack! 在2022年4月12日星期二 UTC+8 17:28:30 写道: > Hi Wang, > > I think the default ROACH2 boot images all DHCP a dynamic address. I'm not > sure if there is a fallback default. > If you plug in a USB cable into the board while it boots you should be > able to

Re: [casper] IP hostname or address of FPGA board

2022-04-12 Thread Wang
up instructions for DHCP+TFTP+NFS from > https://docs.google.com/a/ska.ac.za/document/d/1tqw4C6uZ6EULl1OykTFL_vQTnK52UBr0aYqTg44E5wg, > > sections k, l, m. > > Cheers, > > Cedric > > Le 12/04/2022 à 11:18, Wang a écrit : > > Hello,CASPER > > How's it going?H

[casper] IP hostname or address of FPGA board

2022-04-12 Thread Wang
Hello,CASPER How's it going?How do I know the IP hostname or address of roach2. I would be really appreciated if you reply! Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group. To unsubscribe from this group and stop

[casper] about core "Finedelay fstop prog" _fring stop parameter

2017-04-23 Thread Wang Jinqing
Sine-Cos LUT = 2^27 / 2^10 = 2^17 It means that minimum rate of incrementing fringe phase by 0.02197 degrees is after 2^17 FFT cycles. Best Regards. Oliver Wang -- You received this message because you are subscribed to the Google Groups "casper@lists.berkeley.edu" group.

Re: [casper] question about finedelay_fstop_prog

2015-02-20 Thread Wang Jinqing
Hi, thank you But I have set the theta_fract zero,this means that the phase changing every 4095 FFT cycles is zero, So the output phase should be stable. But now is not like that. Best Regards. Oliver Wang -原始邮件- 发件人: Andrew Martens and...@ska.ac.za 发送时间: 2015年2月20日 星期五 收件人: Wang

[casper] about complex FFT core problem

2015-02-12 Thread Wang Jinqing
output to the complex FFT directory.Can it work well? But I don't kown how to fix. I have set the FFT's parameters as the fft_setting.jpg appendix. Best Regards. Oliver Wang -原始邮件- 发件人: casper-requ...@lists.berkeley.edu 发送时间: 2015年2月13日 星期五 收件人: casper@lists.berkeley.edu 抄送: 主题

Re: [casper] about download the tut3.bof

2014-12-03 Thread Wang Jinqing
problem will be fixed. Best Regards. Oliver Wang -原始邮件- 发件人: Andrea Mattana matt...@ira.inaf.it 发送时间: 2014年12月3日 星期三 收件人: Wang Jinqing jqw...@shao.ac.cn 抄送: casper list casper@lists.berkeley.edu 主题: Re: [casper] about download the tut3.bof Hi Caspers and Wang, I got the same

[casper] ErrorCannot find any compiled XSG netlist.

2014-11-06 Thread Wang Jinqing
Hi, Today,I'm compling a model but the matlab give out such errors like below. Does some have the same problem?How to resolve? Error using gen_xps_create_pcore (line 41) Cannot find any compiled XSG netlist. Have you run the Xilinx System Generator on your design ? Best Regards. Oliver Wang

[casper] wide_band_real fft simulation problem of tut3

2014-11-02 Thread Wang Jinqing
seperation) and power I even can't find the corresponding spectrum line. There're many messy signals on the spectrum. It seems that the fft core not works well in simulation. Does someone run into this problem? Best Regards. Oliver Wang.

[casper] about nfs boot configuration on roach2

2014-10-28 Thread Wang Jinqing
Hi, I have make a nfs setup on my roach2 board as the guide https://casper.berkeley.edu/wiki/ROACH_NFS_guide . Then I reboot in the minicom window,command dhcp and nfs seperately,the ouput information is below.It seems that the roach has found the uImage and transferred the kernel file.But

[casper] about boffile download using tut3.py

2014-10-25 Thread Wang Jinqing
. Request: ?progdev tut3_2014_Oct_24_0848.bof Reply: !progdev fail. Best Regards. Oliver Wang

[casper] about download the tut3.bof

2014-10-23 Thread Wang Jinqing
see the appendix. Best Regards. Oliver Wang FAILURE DETECTED. Log entries: 192.168.40.60: Starting thread Thread-1 192.168.40.60: #version test-584-g9ebe0bd-dirty 192.168.40.60: #build-state 2012-06-26T09:26:06 192.168.40.60: #version-connect katcp-library test-584-g9ebe0bd-dirty 2012-06-26T09:26

[casper] about tut3 input ports

2014-10-21 Thread Wang Jinqing
. But the [quant_gain] is an inport, that is an interface to the software, so which xilinx is suitable? Best Regards. Oliver Wang

Re: [casper] about error report of tut3

2014-10-20 Thread Wang Jinqing
Yes,for I am a new man and I don't know that the blocks are actually good. I have set the fft block and it seems simulating well. Thank you very much. Best Regards. Oliver Wang -原始邮件- 发件人: Andrew Martens and...@ska.ac.za 发送时间: 2014年10月20日 星期一 收件人: Wang Jinqing jqw...@shao.ac.cn

[casper] about simulation very slowly

2014-10-16 Thread Wang Jinqing
that I can see the scope's output( Although the result is righ). I think there's something about configuration may not be correct. But I don't know where I should change the settings so the simulation speed can be increased. Could some on give me some advice? Best Regards. Oliver Wang

[casper] about tutorial3 on roach board2

2014-10-15 Thread Wang Jinqing
Wang

Re: [casper] about tutorial3 on roach board2

2014-10-15 Thread Wang Jinqing
Hi Mark,thank you very much. I can download and see the elements of the model now . I try to run the model on my Roach2 board. But it seems something wrong about the matlab. I'll check. Best Regards. Oliver Wang -原始邮件- 发件人: Mark Wagner mwag...@ssl.berkeley.edu 发送时间: 2014年10月16日

[casper] about Roach2 tutorials

2014-08-16 Thread Wang Jinqing
board, how can it run smoothly on Roach2 ? Do you have tutorials that is suitable for Roach2. Best regards. Oliver Wang -原始邮件- 发件人: casper-requ...@lists.berkeley.edu 发送时间: 2014年8月15日 星期五 收件人: casper@lists.berkeley.edu 抄送: 主题: casper Digest, Vol 81, Issue 9 Send casper mailing list