Re: [USRP-users] RFNoC Software Emulation

2018-07-23 Thread Dario Pennisi via USRP-users
Hi Brian, as you may have guessed I’m not from ettus so maybe you can get better insight from them. On my side I would recommend you look at the APIs to write/read registers and the ones that set up streams to/from the device. These are the main building blocks and you will find that the registe

[USRP-users] Gnuradio do_configure error

2018-07-23 Thread Antoine Laval via USRP-users
Hi, I am trying to build the gnuradio-demo-image for an USRP E310, and I need to use gnuradio-companion. As pygtk is deprecated, I I have modified the gnuradio_git.bb recipe from meta-sdr/recipes-core to update the GNU-Radio version up to 3.8.0 with the following revision : SRCREV = 53ddb74a31

Re: [USRP-users] TDMA receiver on E310

2018-07-23 Thread David Zamorano Fernández via USRP-users
Hi Marcus, First, I thought that recv() is not thread-safe (according to recv() section in UHD manual ). I understand the use of two or more threads with recv() in a loop. But, when do I program the t

[USRP-users] use X310 Ref Out for sync

2018-07-23 Thread Johannes Demel via USRP-users
Hi all, we have 2 USRP X310 which we need to synchronize. Hopefully to make things easier, we only need frequency synchronization. Is it possible to connect 'Ref Out' to 'Ref In' on another X310 for that purpose? Previously, we used a signal generator to supply two USRPs with a reference 10MHz

Re: [USRP-users] use X310 Ref Out for sync

2018-07-23 Thread Derek Kozel via USRP-users
Hello Johannes, Yes, in that case you can take the 10 MHz Ref Out from the primary X310 and connect it to the 10 MHz Ref in of the second X310. What cannot be done is extend that to a third X310 by daisy chaining or use the 1 PPS to achieve the exact timing sync needed for precise phase alignment

Re: [USRP-users] RFNoc Blocks with Xilinx IP

2018-07-23 Thread Chetwynd, Brendon - 0551 - MITLL via USRP-users
EJ, The help is much appreciated! - Brendon Brendon Chetwynd Technical Staff MIT Lincoln Laboratory

[USRP-users] X310 software reset revisted

2018-07-23 Thread Jason Matusiak via USRP-users
I know it has been questioned many times on here, but I wanted to verify something. It has always been said that there is no way to do a software reset on the X310; I am curious if that is "currently" or "ever?" I wasn't sure if there was a way to send a packet either via UHD or RFNoC that c

[USRP-users] Announcing the RFNoC Workshop at the GNU Radio Conference

2018-07-23 Thread Neel Pandeya via USRP-users
== *** Announcing the RFNoC Workshop at the GNU Radio Conference *** Ettus Research will be running free, hands-on, technical workshops at the GNU Radio Conference, and you are welcome

Re: [USRP-users] N310 with rfnoc-devel branch

2018-07-23 Thread Rob Kossler via USRP-users
Martin, Re-flashing the SD card with the 3.12 image fixed my issue! Rob On Thu, Jul 19, 2018 at 6:13 PM Rob Kossler wrote: > I think 3.11, but how do I check? > I'm not sure if I mentioned or not, but I am running benchmark_rate from a > host, not embedded. > > Rob > > On Thu, Jul 19, 2018 at 6:

[USRP-users] External LO with N310

2018-07-23 Thread Rob Kossler via USRP-users
Hi, I was playing around with external LO capability with the N310. I used the arg "tx_lo_source=external" and I discovered that if I only connected 1 of the 2 external Tx LO inputs, the multi_usrp make fails. For my use case, I only planned to use Tx channels 0 and 1 (daughterboard A) and so I o

[USRP-users] Alternate transmission of frames on 2 TX USRP port

2018-07-23 Thread Ayaz Mahmud via USRP-users
Hi, USRP UHD B210 – GnuRadio 3.7.10 I am generating frames and transmitting by using OFDM_tx example. Now I want to use 1 USRP sink (with 2 Tx port). And want every alternate packet from each sink. Example : Frame 1 (every odd number of frame) – USRP tx port 1 Frame 2 (every even number of fram

Re: [USRP-users] X310 software reset revisted

2018-07-23 Thread Nick Foster via USRP-users
I've solved this with the USB JTAG interface and an external machine. An RPi will happily run Vivado Lab. AFAIK there are no plans to integrate a software reset into the X310. Nick On Mon, Jul 23, 2018 at 6:11 AM Jason Matusiak via USRP-users < usrp-users@lists.ettus.com> wrote: > I know it has

Re: [USRP-users] N310 with rfnoc-devel branch

2018-07-23 Thread Martin Braun via USRP-users
On 07/23/2018 06:57 AM, Rob Kossler wrote: > Martin, > Re-flashing the SD card with the 3.12 image fixed my issue! Rob, that's great news, and I'm happy you're unstuck! I'm still not entirely sure what the exact reason is for this is, but here's a short analysis: On the N310, we use an architect

Re: [USRP-users] SFP Transceivers for N310 1/10Gbps Fiber Ethernet

2018-07-23 Thread Ashish Chaudhari via USRP-users
Hi Zhongyuan, >> Does 10Gbps SFP+ transceiver backward compatible with 1Gbps socket? No it's not. Depending on what N310 FPGA image you build/use, the port speeds are locked down. The HG image is 1G on SFP0 and 10G on SFP1 whereas the XG image has 10G on both SFP ports. The transceiver might supp

Re: [USRP-users] N310 with rfnoc-devel branch

2018-07-23 Thread Rob Kossler via USRP-users
Thanks for the explanation. That make sense. I suppose that I also could have just pulled and recompiled MPM directly on the N310 (in lieu of re-flashing the SD externally or using mender). Rob On Mon, Jul 23, 2018 at 12:55 PM Martin Braun wrote: > On 07/23/2018 06:57 AM, Rob Kossler wrote: >

Re: [USRP-users] X310 software reset revisted

2018-07-23 Thread Nate Temple via USRP-users
Hi Jason, This magic poke should do the trick: python $UHD_INSTALL_DIR/firmware/usrp3/x300/x300_debug.py --addr= --poke=0x100058 --data=1 Regards, Nate Temple On Mon, Jul 23, 2018 at 9:45 AM, Nick Foster via USRP-users < usrp-users@lists.ettus.com> wrote: > I've solved this with the USB JTAG

Re: [USRP-users] SFP Transceivers for N310 1/10Gbps Fiber Ethernet

2018-07-23 Thread Rob Kossler via USRP-users
I am successfully using this 10gbe SFP+/LC singlemode 1310nm transceiver from fs.com. FS SFP-10GLR-31 I am also using this QSFP+/MTP transceiver (for Intel XL-710 in 4x10gbe mode) FS QSFP-PLR4-40G On Mon, Jul 23, 2018 at 1:10 PM Ashish Chaudhari via USRP-users < usrp-users@lists.ettus.com> wrote:

Re: [USRP-users] X310 software reset revisted

2018-07-23 Thread Nick Foster via USRP-users
What is this witchery?? Is this a reset to the PCIe controller? Nick On Mon, Jul 23, 2018 at 10:44 AM Nate Temple wrote: > Hi Jason, > > This magic poke should do the trick: > > python $UHD_INSTALL_DIR/firmware/usrp3/x300/x300_debug.py --addr= > --poke=0x100058 --data=1 > > > Regards, > Nate T

[USRP-users] RFNoC flowgraph runs right the second time

2018-07-23 Thread Jason Matusiak via USRP-users
I have a flowgraph with a custom RFNoC block in the middle. That block has 2 inputs and 2 outputs. Just to get started and work out the MIMO functionality, all I do is cross the the inputs to the outputs (so input 0 comes out output 1). What I am seeing is that if I run the flowgraph after lo

[USRP-users] Log all signals in simulation

2018-07-23 Thread Erik Malone via USRP-users
Hi I'm looking for a way to log all signals when simulating an RFNoC design in batch mode. This would help me to run longer tests and then bring up a waveform whenever an error occurred. Preferably, I'd like to keep within Ettus' current simulation flow. Thank you, Erik

Re: [USRP-users] Reception of signals problem

2018-07-23 Thread Marcus D. Leech via USRP-users
What are the connections between your computers and USrPs? Are you driving them with dedicated Ethernet cards on each PC? Sent from my iPhone > On Jul 23, 2018, at 5:08 AM, Kirthana Rao wrote: > > What do you mean by computer configurations, do you want details of the > system I am using o

[USRP-users] distinguishing FPGA images

2018-07-23 Thread Rob Kossler via USRP-users
I have a couple of questions about the best way to approach a couple of things: Q1) How can I tell the difference between a stock RFNoC FPGA image and one that I custom modified? I made a small change to one of the existing blocks and so the resulting output from uhd_usrp_probe is the same for th

[USRP-users] timestamps and overruns

2018-07-23 Thread RizThon via USRP-users
Hi all, I have a question concerning the timestamps while getting an overrun. I'm sampling at 28MS/s and reading blocks of 1024 samples. So it takes 36571 to 36572ns to sample each block. I try to read x blocks, calling receive for each block. I get something like block 93: correctly read 1024

Re: [USRP-users] timestamps and overruns

2018-07-23 Thread Marcus D. Leech via USRP-users
On 07/23/2018 09:51 PM, RizThon via USRP-users wrote: Hi all, I have a question concerning the timestamps while getting an overrun. I'm sampling at 28MS/s and reading blocks of 1024 samples. So it takes 36571 to 36572ns to sample each block. I try to read x blocks, calling receive for each b

Re: [USRP-users] timestamps and overruns

2018-07-23 Thread RizThon via USRP-users
Hi Marcus, It's a B210. So you confirm this is strange, and is probably a problem on the Soapy part? I can try to write the same straight in UHD to see what I get. Thanks. On Tue, Jul 24, 2018 at 10:00 AM Marcus D. Leech via USRP-users < usrp-users@lists.ettus.com> wrote: > On 07/23/2018 09:51

Re: [USRP-users] timestamps and overruns

2018-07-23 Thread Marcus D. Leech via USRP-users
On 07/23/2018 10:04 PM, RizThon wrote: Hi Marcus, It's a B210. So you confirm this is strange, and is probably a problem on the Soapy part? I can try to write the same straight in UHD to see what I get. Thanks. Yes an overrun should result in an overrun indication, and a timestamp that is a