[gem5-users] Re: gem5 cpu-gpu heterogeneous NoC

2024-05-07 Thread Poremba, Matthew via gem5-users
[AMD Official Use Only - General] Hello, If you want to run CPU+GPU right now you will probably need to use SE mode. Timing CPUs are not supported in GPUFS configs so the CPU network is completely ignored as KVM/atomic bypass network. If that doesn’t matter, then you will need to create a

[gem5-users] Re: Gem5 Garnet 3.0 -- Virtual networks

2024-04-01 Thread ASMITA PAL via gem5-users
Hi, In the process of updating mandatory_request_type_to_event, where do we need additional RubyRequestType - as in there’s already RubyRequestType_LD, RubyRequestType_IFETCH and RubyRequestType_ST – so where to add RubyRequestType_LD_1, given I want to add more virtual networks which consume

[gem5-users] Re: Gem5 - Garnet - Full System

2023-09-18 Thread Karim Soliman via gem5-users
Thank you, Gabriel, for your valuable feedback. Best Regards, *Eng. Karim Soliman* Teaching Assistant Computer Engineering Department Pharos University in Alexandria (P.U.A) On Mon, Sep 18, 2023 at 11:48 PM gabriel.busnot--- via gem5-users < gem5-users@gem5.org> wrote: > Hi Karim, > > The

[gem5-users] Re: Gem5 - Garnet - Full System

2023-09-18 Thread gabriel.busnot--- via gem5-users
Hi Karim, The short answer to “can I use a single vnet for MESI_Two_Level is “probably not”, just as for any provided Ruby protocol. The reason is that vnets provide traffic isolation between different message classes (request, response, etc.). This isolation is required to avoid deadlocks.

[gem5-users] Re: Gem5 GCN3_X86

2023-08-23 Thread Matt Sinclair via gem5-users
Hi Kazi, Trying to answer your questions: 1. I am not aware of -d not working -- as of yesterday my students and I were able to use it (with head of develop, or something close to it). How are you attempting to use it on the command line? 2. I am not sure about the -mem-type flag (maybe Matt

[gem5-users] Re: Gem5 SE mode with SystemC for RISC-V

2023-08-08 Thread 泰。 via gem5-users
HiHarshil, Thank you for providing the examples. I tried util/tlm/conf/tlm_slave.py and it works. However, if I directly replace TrafficGen with TimingSimpleCPU, simulation doesn't work. Is there a better way to pass transactions to the TLM memory slave when using TimingSimpleCPU as the

[gem5-users] Re: Gem5 SE mode with SystemC for RISC-V

2023-08-04 Thread siva sankar via gem5-users
Hi Zitai, In config_mem() in configs/common/MemConfig.py, commenting out the following worked for me: if opt_tlm_memory:system.external_memory = m5.objects.ExternalSlave(port_type="tlm_slave", port_data=opt_tlm_memory, port=system.membus.mem_side_ports,

[gem5-users] Re: Gem5 SE mode with SystemC for RISC-V

2023-08-04 Thread Harshil Patel via gem5-users
Hi Zitai, Here are some examples of using SystemC with gem5: https://github.com/gem5/gem5/blob/develop/configs/example/dramsys.py https://github.com/gem5/gem5/tree/develop/util/tlm However, it should be noted that the integration of SystemC with gem5 is not being actively maintained by the

[gem5-users] Re: gem5 VEGA_X86 simulation with GPU support

2023-08-02 Thread Poremba, Matthew via gem5-users
[AMD Official Use Only - General] Hi, Can you show the output you removed? What is being printed right before the crash? Thanks, Matt From: Matt Sinclair Sent: Sunday, July 23, 2023 10:37 AM To: The gem5 Users mailing list Cc: l...@163.com <17861509...@163.com>; Poremba, Matthew

[gem5-users] Re: gem5 VEGA_X86 simulation with GPU support

2023-07-23 Thread Matt Sinclair via gem5-users
Hi Lin, I don't see anything obviously wrong with your command, but this error seems to imply that something with the setup of the GPU device is wrong. If you didn't change anything though, then probably there is something wrong with our GPUFS instructions. Matt P (CC'd) knows the GPUFS code

[gem5-users] Re: GEM5 within SystemC build issue

2023-07-19 Thread G via gem5-users
Looks like this JIRA is low priority and has no progress since 2021. Thanks a lot! | | G | | ginger...@163.com | Replied Message | From | Yu-hsin Wang | | Date | 7/20/2023 10:47 | | To | G | | Cc | gem5-users@gem5.org | | Subject | Re: [gem5-users] Re: GEM5 within SystemC build issue

[gem5-users] Re: GEM5 within SystemC build issue

2023-07-19 Thread G via gem5-users
is available | | G | | ginger...@163.com | Replied Message | From | Yu-hsin Wang | | Date | 7/19/2023 15:32 | | To | G | | Cc | gem5-users@gem5.org | | Subject | Re: [gem5-users] Re: GEM5 within SystemC build issue | Hi Ginger, I think the issue is --with-cxx-config only works

[gem5-users] Re: GEM5 within SystemC build issue

2023-07-19 Thread G via gem5-users
ect * Gem5ToTlmBridge32CxxConfigParams::simObjectCreate() { return this->create(); } | | G | | | Replied Message | From | G via gem5-users | | Date | 7/14/2023 17:24 | | To | gem5-users@gem5.org | | Cc | G | | Subject | [gem5-users] Re: GEM5 within SystemC build issue | Hello, Anyone hit same issu

[gem5-users] Re: GEM5 within SystemC build issue

2023-07-14 Thread G via gem5-users
Hello, Anyone hit same issue when building "GEM5 within SystemC"? Or just succeeding? | | G | | ginger...@163.com | Replied Message | From | G via gem5-users | | Date | 7/3/2023 10:35 | | To | gem5-users@gem5.org | | Cc | gingerluo | | Subject | [gem5-users] GEM5 within SystemC build

[gem5-users] Re: Gem5 with pydot

2023-06-28 Thread Aaron Vose via gem5-users
The last email with my solution wrapped the lines in an odd way, here it is (hopefully) better formatted: cd /tools/Python/python3.8.16/bin/python3 -m venv pyenv3816-base cp -r /tools/Python/python3.8.16/ pyenv3816-manual cd pyenv3816-manual/lib/pkgconfig/ # Edit "python-3.8-embed.pc" to set

[gem5-users] Re: Gem5 with pydot

2023-06-28 Thread Aaron Vose via gem5-users
Boris, I have been able to fix the issue with the following commands. They're a little specific to my system, but the overall idea might be helpful to others who are having issues with getting the correct Python version to be used to build Gem5, especially in light of the fact that the

[gem5-users] Re: Gem5 with pydot

2023-06-28 Thread Aaron Vose via gem5-users
Boris, You have found exactly what my issue is! I'm running out of a python3 virtual environment created / activated with: "/tools/Python/python3.9.6/bin/python3 -m venv ~/pyenv396" "source ~/pyenv396/bin/activate" The issue is that the virtual environment created in this way does not contain

[gem5-users] Re: Gem5 with pydot

2023-06-28 Thread Boris Shingarov via gem5-users
Which Python interpreter to embed is a compile-time decision, generally controlled by python3-config / python-config. What does python3-config --ldflags say? Also, what does 'ldd -r gem5.opt' say about libpython? You may also want to search for PYTHON_CONFIG in SConstruct to understand how

[gem5-users] Re: Gem5 with pydot

2023-06-27 Thread Aaron Vose via gem5-users
It does look like the python environment doesn't seem to contain "pydot"; this is from running gem5: *** ModuleNotFoundError: No module named 'pydot' (Pdb) import sys (Pdb) sys.version_info sys.version_info(major=3, minor=6, micro=8, releaselevel='final', serial=0) It seems like the Python

[gem5-users] Re: Gem5 with pydot

2023-06-27 Thread Aaron Vose via gem5-users
> Have you rebuilt gem5? After rebuilding Gem5, the changes to the "dot_writer.py" are activated. I'll poke around here and see what I can find.. not sure what's wrong with it yet. Thanks much, ~Aaron Vose -Original Message- From: Aaron Vose Sent: Tuesday, June 27, 2023 7:01 PM To:

[gem5-users] Re: Gem5 with pydot

2023-06-27 Thread Aaron Vose via gem5-users
> Have you rebuilt gem5? I have rebuilt after installing pydot, but I don't remember if I did after changing the "dot_writer.py" file. I will rebuild again just to be sure. Thanks much for your help with this. Cheers, ~Aaron Vose -Original Message- From: Boris Shingarov Sent:

[gem5-users] Re: Gem5 with pydot

2023-06-27 Thread Boris Shingarov via gem5-users
Have you rebuilt gem5? On 6/27/23 18:52, Aaron Vose wrote: Boris, Thanks much for your suggestion! It's very interesting, because I've done as you suggested, and it doesn't seem to drop me into a debug shell at all. I even changed those lines in "dot_writer.py" to be the following, and I

[gem5-users] Re: Gem5 with pydot

2023-06-27 Thread Aaron Vose via gem5-users
Boris, Thanks much for your suggestion! It's very interesting, because I've done as you suggested, and it doesn't seem to drop me into a debug shell at all. I even changed those lines in "dot_writer.py" to be the following, and I don't see any of the print messages I added. It doesn't print

[gem5-users] Re: Gem5 with pydot

2023-06-26 Thread Boris Shingarov via gem5-users
I would debug it like this: Near the beginning of dot_writer.py, there is: try: import pydot except: pydot = False Replace the "pydot = False" with "import pdb; pdb.set_trace()". This will drop you into a debug shell where you can investigate why "import pydot" failed. On 6/26/23

[gem5-users] Re: gem5 riscv remote gdb support

2023-06-16 Thread Boris Shingarov via gem5-users
gem5.debug fs.py --kernel=riscv.elf --wait-gdb On 6/15/23 22:17, wanghw364 via gem5-users wrote: Hi, Does gem5 riscv support remote gdb to debug the target riscv elf? I tried in this way but riscv gdb can't connect gem5 and showed connection time out and gem5 keep running the elf to the end:

[gem5-users] Re: Gem5 Garnet 3.0 -- Virtual networks

2023-06-12 Thread gabriel.busnot--- via gem5-users
Hi Karim, You can’t set the value of ruby_system.network.number_of_virtual_networks arbitrarily. This value must match the actual virtual networks used by the protocol it corresponds to. In the case of Garnet_standalone, only 3 vnets are defined (c.f., network buffers defined by

[gem5-users] Re: Gem5 Garnet 3.0 -- Virtual networks

2023-06-09 Thread Karim Soliman via gem5-users
I have tried changing the number of virtual networks in configs/ruby/Garnet_standalone.py and it's working, I checked the number of Virtual channels in src/mem/ruby/network/garnet/InputUnit.cc and it's working. The problem is the Garnet Synthetic Traffic in

[gem5-users] Re: Gem5 Garnet 3.0 -- Virtual networks

2023-06-09 Thread Karim Soliman via gem5-users
Thank you so much for your help. Best Regards, *Eng. Karim Soliman* Teaching Assistant Computer Engineering Department Pharos University in Alexandria (P.U.A) On Fri, Jun 9, 2023 at 10:34 AM Krishna, Tushar via gem5-users < gem5-users@gem5.org> wrote: > Karim you can control which vnet to

[gem5-users] Re: Gem5 Garnet 3.0 -- Virtual networks

2023-06-08 Thread Krishna, Tushar via gem5-users
Karim you can control which vnet to inject traffic into using - -inj-vnet Garnet Synthetic Traffic

[gem5-users] Re: Gem5 Garnet 3.0 -- Virtual networks

2023-06-08 Thread Chenxun Wang via gem5-users
Hi Karim, The number of virtual networks under Standalone protocol is set in `configs/ruby/Garnet_standalone.py`, line 115 which is `ruby_system.network.number_of_virtual_networks = 3`. It's the same as every other protocol such as CHI in `configs/ruby/CHI.py`. This parameter should not be

[gem5-users] Re: gem5 SVE vectoe length

2023-05-29 Thread 等价无穷小 via gem5-users
Hi, Hoa, Thanks for your reply and addvice, I am so sorry about to reply so lately, becacuse I got injuried seriously in last month. I check the kernel booting log, I can see something like you have shown in your reply. [ 0.001241] CPU features: detected: 32-bit EL0 Support[ 0.001246] CPU

[gem5-users] Re: gem5 garnet 3.0 standalone

2023-05-09 Thread Karim Soliman via gem5-users
Thank you so much for your help. Best Regards, *Eng. Karim Soliman* Teaching Assistant Computer Engineering Department Pharos University in Alexandria (P.U.A) On Tue, May 9, 2023 at 6:10 PM gabriel.busnot--- via gem5-users < gem5-users@gem5.org> wrote: > Hi Karim, > > You can run simulation

[gem5-users] Re: gem5 garnet 3.0 standalone

2023-05-09 Thread gabriel.busnot--- via gem5-users
Hi Karim,\ \ You can run simulation for a few thousand cycles using m5.simulate(some_amount_of_ticks), then reset stats using m5.stats.reset() and then run m5.simulate again. You will collect stats on a warmed up system. Regards, Gabriel ___

[gem5-users] Re: gem5 won't exit when running 'riscv-tests' in bare metal mode

2023-05-08 Thread Ayaz Akram via gem5-users
Hi Evangelos, The problem with these tests (in gem5) is discussed here ( https://gem5.atlassian.net/browse/GEM5-938) in detail. Since they get stuck in a loop after executing some instructions (and I think this happens when the useful part of the benchmark is done), probably using max insts is a

[gem5-users] Re: GEM5 dcache dual-porting

2023-05-03 Thread G via gem5-users
to do this at Cache/Ruby side? Is it possible only by pythonic configuring? Replied Message | From | Ayaz Akram via gem5-users | | Date | 5/1/2023 04:22 | | To | The gem5 Users mailing list | | Cc | G , Ayaz Akram | | Subject | [gem5-users] Re: GEM5 dcache dual-porting | Hi, Based on my

[gem5-users] Re: GEM5 dcache dual-porting

2023-04-30 Thread Ayaz Akram via gem5-users
Hi, Based on my understanding, I think if you configure multiple load functional units that would be equivalent to multiple dcache ports. However, using multiple LQs might require changes in the source code. -Ayaz On Mon, Apr 24, 2023 at 11:42 PM G via gem5-users wrote: > Hello, > > Seems

[gem5-users] Re: gem5 SVE vectoe length

2023-04-20 Thread Hoa Nguyen via gem5-users
Sender:"Giacomo Travaglini via gem5-users"< gem5-users@gem5.org >; > > Sent Time:2023/4/20 17:30 > > To:"The gem5 Users mailing list"< gem5-users@gem5.org >; > > Cc recipient:"等价无穷小"< zhang...@foxmail.com >;"Giacomo Tra

[gem5-users] ??????Re: ??????gem5& execute&

2023-04-20 Thread 中国石油大学张天 via gem5-users
Okay, thank you. I have received your suggestion and I will think it over tomorrow. It's already midnight here, so I'll go to bed first ?9?6?? Thank you again. By the way, it seems that every time I send you an email, you always reject it. ---- ??:

[gem5-users] ??????Re: ??????gem5& execute&

2023-04-20 Thread 中国石油大学张天 via gem5-users
Okay, thank you. I have received your suggestion and I will think it over tomorrow. It's already midnight here, so I'll go to bed first ?9?6?? Thank you again. By the way, it seems that every time I send you an email, you always reject it. ----

[gem5-users] Re: gem5 SVE vectoe length

2023-04-20 Thread 等价无穷小 via gem5-users
t; gem5-users@gem5.org ; Sent Time:2023/4/20 17:30 To:"The gem5 Users mailing list"< gem5-users@gem5.org ; Cc recipient:"等价无穷小"< zhang...@foxmail.com ;"Giacomo Travaglini"< giacomo.travagl...@arm.com ; Subject:[gem5-users] Re: gem5 SVE vectoe l

[gem5-users] Re: gem5& execute&

2023-04-20 Thread Eliot Moss via gem5-users
On 4/20/2023 11:33 AM, Eliot Moss via gem5-users wrote: On 4/20/2023 10:58 AM, 中国石油大学张天 via gem5-users wrote: Hello everyone, I would like to ask, when executing non memory access instructions in Gem5, shouldn't it be executed in ALU? But ALU has not been specifically designed and implemented,

[gem5-users] Re: gem5& execute&

2023-04-20 Thread Eliot Moss via gem5-users
On 4/20/2023 10:58 AM, 中国石油大学张天 via gem5-users wrote: Hello everyone, I would like to ask, when executing non memory access instructions in Gem5, shouldn't it be executed in ALU? But ALU has not been specifically designed and implemented, how is this instruction executed? gem5 does not model

[gem5-users] Re: gem5 SVE vectoe length

2023-04-20 Thread Giacomo Travaglini via gem5-users
Hi Zhang, That parameter configures the *maximum* (hardware constrained) vector length. It is possible to choose a different (smaller) vector length for a process by configuring the following registers ZCR_EL1 [1] ZCR_EL2 [2] ZCR_EL3 [3] So I believe the problem is that while you are

[gem5-users] Re: gem5

2023-04-10 Thread Ayaz Akram via gem5-users
Hi, You can configure some aspects of functional units (including ALU) in detailed CPU models of gem5 (e.g., count, the particular type of operations the unit will perform, latency etc.). For reference, please look at src/cpu/o3/FuncUnitConfig.py. -Ayaz On Mon, Apr 10, 2023 at 8:32 PM 中国石油大学张天

[gem5-users] Re: Gem5 / garnet 3.0 - Standalone

2023-04-03 Thread Karim Soliman via gem5-users
Thank you for your time and for your assistance, I'll check it out. Best Regards, *Eng. Karim Soliman* Teaching Assistant Computer Engineering Department Pharos University in Alexandria (P.U.A) On Tue, Apr 4, 2023 at 8:13 AM Krishna, Tushar wrote: > 0.02 flits/node/cycle is too low an

[gem5-users] Re: Gem5 / garnet 3.0 - Standalone

2023-04-03 Thread Krishna, Tushar via gem5-users
0.02 flits/node/cycle is too low an injection rate for deadlocks. Try higher rates. I had created some labs in my NoC class in the past on deadlocks. It might be useful to take a look, esp Lab 3 on deadlocks. https://tusharkrishna.ece.gatech.edu/teaching/icn_s20/ Some of the garnet repos from

[gem5-users] Re: gem5::cxxConfigInit is missing while trying to build utils/tlm examples

2023-04-03 Thread Giacomo Travaglini via gem5-users
This has been fixed by: https://gem5-review.googlesource.com/c/public/gem5/+/66851/3 And it will be available in next release Kind Regards Giacomo From: Artak Arakelyan via gem5-users Reply to: The gem5 Users mailing list Date: Monday, 3 April 2023 at 15:49 To: "gem5-users@gem5.org" Cc:

[gem5-users] Re: Gem5 - ruby - garnet3.0

2023-03-29 Thread Karim Soliman via gem5-users
Thank you, that helps a lot. Best Regards, *Eng. Karim Soliman* Teaching Assistant Computer Engineering Department Pharos University in Alexandria (P.U.A) On Thu, Mar 30, 2023 at 10:32 AM Srikant Bharadwaj wrote: > Hi Karim, > Adding to what Tushar and Gabriel mentioned, you can use Garnet

[gem5-users] Re: Gem5 - ruby - garnet3.0

2023-03-29 Thread Srikant Bharadwaj via gem5-users
Hi Karim, Adding to what Tushar and Gabriel mentioned, you can use Garnet standalone to do this. >From what I understand, you want to create a custom traffic pattern according to your calculations. You can create your own traffic pattern by modifying the traffic injector. You can follow the

[gem5-users] Re: Gem5 - ruby - garnet3.0

2023-03-29 Thread Karim Soliman via gem5-users
Thank you for your response, I'm actually interested in routing and not coherency protocols, so i rebuild gem5 with the following command to use Garnet Standalone protocol scons build/NULL/gem5.debug PROTOCOL=Garnet_standalone Thanks for the help about using the appropriate protocol. What I want

[gem5-users] Re: Gem5 - ruby - garnet3.0

2023-03-29 Thread Krishna, Tushar via gem5-users
Yes .. take a look at https://www.gem5.org/documentation/general_docs/ruby/garnet_synthetic_traffic/ --inj-vnet can be set to inject in one of the vnets See the related files as well to see where all of this is implemented. Best, Tushar On Mar 29, 2023, 5:23 AM -0400, Karim Soliman via

[gem5-users] Re: Gem5 - ruby - garnet3.0

2023-03-29 Thread gabriel.busnot--- via gem5-users
Hi Karim, Can you be more specific about what you are trying to achieve for us to provide accurate answers? You seem to be really focused on the transport and not so much on the coherency protocol. In that case, I recommend using the garnet standalone protocol: *

[gem5-users] Re: Gem5 - ganret3.0 - Mesh_XY - Virtual Networks - Virtual Channels buffers

2023-03-28 Thread gabriel.busnot--- via gem5-users
Hi Karim, To put it simply, virtual networks are logically independent transport networks: messages traveling on a given vnet shall not be blocked by messages on a different vnet. Garnet is a transport model. As such, it does not decide on the required number of vnets. The Ruby protocol used

[gem5-users] Re: Gem5 - ganret3.0 - Mesh_XY - Virtual Networks - Virtual Channels buffers

2023-03-28 Thread Srikant Bharadwaj via gem5-users
Hi Karim, Can you give us more details on your configuration? What is the type of build, config, and command line you are running which leads to this? Any changes that you may have done to the codebase will also be helpful. Srikant On Mon, Mar 27, 2023 at 8:26 PM Karim Soliman via gem5-users <

[gem5-users] Re: Gem5 - garnet 3.0 - Mesh_XY - Deadlock

2023-03-25 Thread Srikant Bharadwaj via gem5-users
Change the virtual network for request, forward, and response to a single vnet (0) in the following files and then set the src/mem/ruby/protocol/Garnet_standalone-cache.sm src/mem/ruby/protocol/Garnet_standalone-dir.sm Then restrict the number of vnets to 1 in configs/ruby/Garnet_standalone.py

[gem5-users] Re: Gem5 - garnet 3.0 - Mesh_XY - Deadlock

2023-03-25 Thread Karim Soliman via gem5-users
Yes, please can you help me to modify the files into src/mem/ruby/ as I understand till now, inside garnet 3.0 we have 3 types of messages/classes - requests - forward - response. Each one of these classes has its own virtual network separated from the other classes. - requests, and

[gem5-users] Re: Gem5 - garnet 3.0 - Mesh_XY - Deadlock

2023-03-25 Thread Srikant Bharadwaj via gem5-users
Hi Karim, The virtual network is the protocol level sub network. The virtual channels indicate the number of parallel buffer streams in each virtual network. To achieve a protocol deadlock you will have to set a single virtual network and then force the different types of messages (request,

[gem5-users] Re: Gem5 - garnet 3.0 - Mesh_XY - Deadlock

2023-03-25 Thread 赵子丰 via gem5-users
hi, The virtual networks are mainly used for avoiding protocol deadlocks, i.e., one message type per vnet. the output 5 virtual channels is because you set vcs-per-vnet=1(i.e., one virtual channel per vnet) and the garnet model has 5 virtual networks (I think so) As for the last problem, it's

[gem5-users] Re: GEM5 - Garnet - Mesh_XY

2023-03-20 Thread Krishna, Tushar via gem5-users
You can just print each flit (cout << *t_flit) in InputUnit.cc wakeup function .. Cheers, Tushar On Mar 20, 2023, at 9:50 PM, Karim Soliman via gem5-users wrote:  inside file mem/ruby/network/garnet/flit.cc I found this function that can print out the flit data during the simulation. But I

[gem5-users] Re: GEM5 - Garnet - Mesh_XY

2023-03-20 Thread Karim Soliman via gem5-users
inside file *mem/ruby/network/garnet/flit.cc* I found this function that can print out the flit data during the simulation. But I don't know how to call it during the simulation. // Flit can be printed out for debugging purposes void flit::print(std::ostream& out) const { out << "[flit:: ";

[gem5-users] Re: GEM5 - Garnet - Mesh_XY

2023-03-20 Thread Karim Soliman via gem5-users
Yes, I understand that. DPRINTF and std::cout work fine with me too. But i'm sorry, my question wasn't about using DPRINTF, I already used it before and it worked fine. My question is about the flit inside the Mesh_XY routing, i want to debug and print the flits or packets to trace it during the

[gem5-users] Re: GEM5 - Garnet - Mesh_XY

2023-03-20 Thread atul.21csz0013--- via gem5-users
Did you run at .debug mode while using Dprintf? Also, I think std::cout will also work for printing. regards Atul ___ gem5-users mailing list -- gem5-users@gem5.org To unsubscribe send an email to gem5-users-le...@gem5.org

[gem5-users] Re: GEM5 - Garnet - Mesh_XY

2023-03-20 Thread Haoyu Wang via gem5-users
But by the way, in my case, 'std::cout<<; ' works well for printing runtime data. From: Haoyu Wang via gem5-users Sent: 20 March 2023 09:09 To: Karim Soliman Cc: The gem5 Users mailing list ; Haoyu Wang Subject: [gem5-users] Re: GEM5 - Garnet - M

[gem5-users] Re: GEM5 - Garnet - Mesh_XY

2023-03-20 Thread Haoyu Wang via gem5-users
Hi Karim, Did you try to rebuild (scons) your system after adding DPRINTF? Best regards, Henry University of Southampton From: Karim Soliman via gem5-users Sent: 20 March 2023 08:09 To: The gem5 Users mailing list Cc: Karim Soliman Subject: [gem5-users] GEM5 - Garnet - Mesh_XY CAUTION: This

[gem5-users] Re: gem5 / garnet / simple custom routing

2023-03-15 Thread Karim Soliman via gem5-users
Thank you so much for your time and help. Best Regards, *Eng. Karim Soliman* Teaching Assistant Computer Engineering Department Pharos University in Alexandria (P.U.A) On Tue, Mar 14, 2023 at 4:17 PM gabriel.busnot--- via gem5-users < gem5-users@gem5.org> wrote: > When getting a segfault, you

[gem5-users] Re: gem5 / garnet / simple custom routing

2023-03-14 Thread gabriel.busnot--- via gem5-users
When getting a segfault, you want to run the simulation in a debugger to know where it happens. Enabling the --with-asan --with-ubsan flags on scons can also help a lot when the segmentation fault occurs at a different place than the bug location in the code. Gabriel

[gem5-users] Re: gem5 / garnet / simple custom routing

2023-03-13 Thread Karim Soliman via gem5-users
Yes, when I simulate the topology with the default weight-based routing algorithm it's working fine. and the simulation ends when the network tester completes simCycles successfully. Actually I can't tell exactly when the segmentation fault happens, but it's happening the moment when I hit the

[gem5-users] Re: gem5 / garnet / simple custom routing

2023-03-13 Thread gabriel.busnot--- via gem5-users
Hi Karim, Where does the segfault happen? Have you tried your topology with the default weight-based routing algorithm? Regards, Gabriel ___ gem5-users mailing list -- gem5-users@gem5.org To unsubscribe send an email to gem5-users-le...@gem5.org

[gem5-users] Re: gem5-gcn(VEGA) related issues

2023-03-07 Thread Matt Sinclair via gem5-users
I have personally never tried gfx906 but in theory it should work. You would have to change the config files to allow gfx906 as a valid option ( https://gem5.googlesource.com/public/gem5/+/refs/heads/develop/configs/example/apu_se.py#941) and then see what happens. Regarding the assembly error,

[gem5-users] Re: GEM5 - Garnet - Ring topology - Synthetic traffic

2023-02-27 Thread gabriel.busnot--- via gem5-users
Hi Karim, You could indeed go for 16 directories and connect the 7 remaining directories to a dummy router and then ensure that traffic generators never send requests to these directory. This can be difficult depending on the traffic generators logic so here is another approach if that one

[gem5-users] Re: gem5 always adds PCID to vaddr to lookup TLB

2023-02-01 Thread Ayaz Akram via gem5-users
Another thing to notice is that the current PCID change is intended for SE mode only (as you might have seen in the comments of the JIRA issue: https://gem5.atlassian.net/browse/GEM5-332). So, the global bit probably would not be used anyways. -Ayaz On Wed, Feb 1, 2023 at 4:14 PM Ayaz Akram

[gem5-users] Re: gem5 always adds PCID to vaddr to lookup TLB

2023-02-01 Thread Ayaz Akram via gem5-users
Hi Soramichi, I agree with the change you are proposing. May I open an issue on Jira on this and assign myself? Yes, please do that. -Ayaz On Tue, Jan 31, 2023 at 11:07 PM Soramichi Akiyama wrote: > Hi Ayaz, > > thank you for your reply and I really appreciate your effort for making > SMT

[gem5-users] Re: gem5 always adds PCID to vaddr to lookup TLB

2023-01-31 Thread Soramichi Akiyama via gem5-users
Hi Ayaz, thank you for your reply and I really appreciate your effort for making SMT work. As far as I understand, the stackoverflow entry you mentioned assumes that every process uses the same PTE for the same vaddr if there is one with global = 1. (I am not sure if this is correct as the

[gem5-users] Re: gem5 always adds PCID to vaddr to lookup TLB

2023-01-30 Thread Ayaz Akram via gem5-users
Hi Soramichi, We recently added the concatenation change to distinguish TLB entries of different processes to make SMT work. You can check more details here: https://gem5.atlassian.net/browse/GEM5-332 I am not sure what the behavior should be for global pages. From some discussion here:

[gem5-users] ??????Re: Gem5 GCN3 (GPUCoalescer detected deadlock when running pagerank.)

2022-11-06 Thread 1575883782 via gem5-users
Hi, Matt I tried to run pagerank in the develop branch (5d0a7b6a6cca0dc20e8b8c366db2ccc150c7480a, Thu Nov 3 16:42:53 2022). But I met a new error (details are below). The error message: ``` /HIP/rocclr/hip_global.cpp:69: guarantee(false "Cannot find Symbol") build/GCN3_X86/sim/faults.cc:60:

[gem5-users] Re: Gem5 GCN3 (GPUCoalescer detected deadlock when running pagerank.)

2022-11-06 Thread Matt Sinclair via gem5-users
82"<1575883...@qq.com>;"Matt Sinclair"; Subject: [gem5-users] Re: Gem5 GCN3 (GPUCoalescer detected deadlock when running pagerank.) Hi, Ultimately this message is telling you there is a deadlock in the cache coherence protocol when running PageRank with the specifications yo

[gem5-users] Re: Gem5 GCN3 (GPUCoalescer detected deadlock when running pagerank.)

2022-11-05 Thread 1575883782 via gem5-users
Thanks. I will try to use `--reg-alloc-policy=dynamic`(I didn't specify a specific policy, I just used the default policy). And I will further read the trace. Then, I am using the stable branch. The commit is: ``` commit 39f85b7a3be1ee0ff6e375c9791dd62d23eb8a3e (HEAD - stable, tag: v22.0.0.1,

[gem5-users] Re: Gem5 GCN3 (GPUCoalescer detected deadlock when running pagerank.)

2022-11-05 Thread Matt Sinclair via gem5-users
Hi, Ultimately this message is telling you there is a deadlock in the cache coherence protocol when running PageRank with the specifications you did. To fix it, you would need to get a trace (https://www.gem5.org/documentation/learning_gem5/part3/MSIdebugging/) and look through to see what

[gem5-users] Re: Gem5 GCN3 (GPUCoalescer detected deadlock when running pagerank.)

2022-11-05 Thread Peter Chen via gem5-users
1. Can gem5 generated the synthesizabke verilog, systemVerilog, systemC (for X86, ARM, RISCV)? 2. Can gem5 provide power and area information (HP CATCTI and etc.)? 3. Can gem5 do the CPU and accelerator (ANVIDIA GPU) simulation together? On Sat, Nov 5, 2022 at 1:58 AM 1575883782 via gem5-users <

[gem5-users] Re: gem5 v22.0.0.2 cannot boot linux 4.14

2022-08-11 Thread Kaustav Goswami
Hi Youngin, In older kernels (4.x), pointer authentication instructions were incorrectly trapped for EL2. That is why there are `xpaclri` instructions. I assume that you are using a linux kernel version 4.14.0 from https://www.gem5.org/documentation/general_docs/fullsystem/building_arm_kernel.

[gem5-users] Re: gem5 Linux Version 4.18

2022-08-03 Thread Giacomo Travaglini
mailing list Subject: [gem5-users] Re: gem5 Linux Version 4.18 Hi Giacomo, Here is the ArmRelease: [system.release] type=ArmRelease eventq_index=0 extensions=LPAE VIRTUALIZATION SECURITY FEAT_LSE FEAT_PAN FEAT_HPDS FEAT_VMID16 FEAT_RDM FEAT_UAO FEAT_LVA FEAT_LPA FEAT_SVE FEAT_FCMA FEAT_JSCVT

[gem5-users] Re: gem5 Linux Version 4.18

2022-08-03 Thread Thomas, Samuel
gt; > (To check the ArmRelease you are using, have a look at system.release in > config.ini > > The entry will contain a list of architectural extensions) > > > > Giacomo > > > > From: Thomas, Samuel > Date: Wednesday, 3 August 2022 at 00:17 > To: The ge

[gem5-users] Re: gem5 Linux Version 4.18

2022-08-03 Thread Giacomo Travaglini
g list <mailto:gem5-users@gem5.org> Subject: [gem5-users] Re: gem5 Linux Version 4.18 Hi Giacomo, There is no output. We are also using a pristine v22.0.0.2 and let the simulator run for ~1 day and there is no output. I'm not sure what you mean by which ArmRelease we are using -- however, ou

[gem5-users] Re: gem5 Linux Version 4.18

2022-08-03 Thread Giacomo Travaglini
, have a look at system.release in config.ini The entry will contain a list of architectural extensions) Giacomo From: Thomas, Samuel Date: Wednesday, 3 August 2022 at 00:17 To: The gem5 Users mailing list Subject: [gem5-users] Re: gem5 Linux Version 4.18 Hi Giacomo, There is no output. We

[gem5-users] Re: gem5 Linux Version 4.18

2022-08-02 Thread Thomas, Samuel
> From: Thomas, Samuel > Date: Tuesday, 2 August 2022 at 14:57 > To: The gem5 Users mailing list > Subject: [gem5-users] Re: gem5 Linux Version 4.18 > > Hi Giacomo, > > > > Thank you for your reply. Yes, I am using v22.0.0.2, and I have ensured that > the recompiled bo

[gem5-users] Re: gem5 Linux Version 4.18

2022-08-02 Thread Giacomo Travaglini
Date: Tuesday, 2 August 2022 at 14:57 To: The gem5 Users mailing list Subject: [gem5-users] Re: gem5 Linux Version 4.18 Hi Giacomo, Thank you for your reply. Yes, I am using v22.0.0.2, and I have ensured that the recompiled bootloader binaries are in the M5_PATH. If that issue is resolved

[gem5-users] Re: gem5 Linux Version 4.18

2022-08-02 Thread Thomas, Samuel
Hi Giacomo, Thank you for your reply. Yes, I am using v22.0.0.2, and I have ensured that the recompiled bootloader binaries are in the M5_PATH. If that issue is resolved, then perhaps this shows that there is a similar issue that isn't necessarily a FEAT_PAuth problem, but has a similar output.

[gem5-users] Re: gem5 Linux Version 4.18

2022-08-02 Thread Giacomo Travaglini
Hi Sam, 1. Are you using v22.0.0.2 or simply v22.0.0.0? (The FEAT_PAuth problem has been resolved in v22.0.0.2 so it is not really an open issue) 2. If using the v22.0.0.2, could you make sure you rebuild the bootloader and make M5_PATH point to it? Let me know if this works Kind

[gem5-users] Re: GEM5 Arm VGIC does not support 'HW' List Register feature

2022-08-01 Thread Giacomo Travaglini
Hi Yifan, The feature is unfortunately only supported in gem5 by the GICv3 implementation. Could you try to run your software stack with GICv3 instead? Kind Regards Giacomo From: Yifan Tan Date: Saturday, 16 July 2022 at 12:17 To: gem5-users@gem5.org Subject: [gem5-users] GEM5 Arm VGIC does

[gem5-users] Re: Gem5 VEGA_X86 FS mode is not started for a long time

2022-07-28 Thread Matt Sinclair
Adding Matt P, who wrote up the instructions for this and thus is best equipped to reply. Matt From: l...@163.com <17861509...@163.com> Sent: Thursday, July 28, 2022 3:52 AM To: gem5-users Subject: [gem5-users] Gem5 VEGA_X86 FS mode is not started for a long time Hello, Now, I want to run the

[gem5-users] Re: [GEM5] Executing same workload by different cores in SE mode

2022-06-19 Thread Peng, Ziyang
: [gem5-users] Re: [GEM5] Executing same workload by different cores in SE mode Hi Ziyang, Based on my understanding, in SE mode, you can get both cores to execute the same binary but they will run using different addresses because they are two processes and have their own address space. If you

[gem5-users] Re: [GEM5] Executing same workload by different cores in SE mode

2022-06-17 Thread Jiayi Huang
Hi Ziyang, Based on my understanding, in SE mode, you can get both cores to execute the same binary but they will run using different addresses because they are two processes and have their own address space. If you want to test cache coherence, you might want to run a multi-threaded program that

[gem5-users] Re: Gem5 segfaults in build/X86/cpu/o3/fetch.cc

2022-06-13 Thread Gagan Panwar
Thanks Jason. I'll take a look at gem5-stdlib. - Gagan On Mon, Jun 13, 2022 at 12:01 PM Jason Lowe-Power wrote: > Hi Gagan, > > The problem is that the CPU doesn't have an ISA object as a child. Most > likely, the function `createThreads()` wasn't called on the CPU instance. > You need to set

[gem5-users] Re: Gem5 segfaults in build/X86/cpu/o3/fetch.cc

2022-06-13 Thread Jason Lowe-Power
Hi Gagan, The problem is that the CPU doesn't have an ISA object as a child. Most likely, the function `createThreads()` wasn't called on the CPU instance. You need to set all of this up *in the python configuration*, not in the C++ models. Solving this problem is difficult if you're using the

[gem5-users] Re: Gem5 segfaults in build/X86/cpu/o3/fetch.cc

2022-06-13 Thread Gagan Panwar
Thank you for the reply Tom. In my case, I have been able to fix it by supplying the "decoder=ArchDecoder()" parameter to the cpu_class constructor when switch_cpus is first initialized. (Line 465 in Simulation.py) > switch_cpus = [cpu_class(switched_out=True, cpu_id=(i), decoder=ArchDecoder())

[gem5-users] Re: gem5 : X86 + GCN3 (gfx801) + test_fwd_lrn

2022-04-06 Thread David Fong via gem5-users
rs@gem5.org>>; Poremba, Matthew mailto:matthew.pore...@amd.com>>; Matt Sinclair mailto:sincl...@cs.wisc.edu>> Cc: Kyle Roarty mailto:kroa...@wisc.edu>>; Bharadwaj, Srikant mailto:srikant.bharad...@amd.com>>; David Fong mailto:da...@chronostech.com>> Su

[gem5-users] Re: gem5 : X86 + GCN3 (gfx801) + test_fwd_lrn

2022-04-06 Thread Bharadwaj, Srikant via gem5-users
22 11:23 AM To: gem5 users mailing list mailto:gem5-users@gem5.org>>; Poremba, Matthew mailto:matthew.pore...@amd.com>>; Matt Sinclair mailto:sincl...@cs.wisc.edu>> Cc: Kyle Roarty mailto:kroa...@wisc.edu>>; Bharadwaj, Srikant mailto:srikant.bharad...@amd.com>>; Da

[gem5-users] Re: gem5 : X86 + GCN3 (gfx801) + test_fwd_lrn

2022-04-06 Thread David Fong via gem5-users
g>>; Poremba, Matthew mailto:matthew.pore...@amd.com>>; Matt Sinclair mailto:sincl...@cs.wisc.edu>> Cc: Kyle Roarty mailto:kroa...@wisc.edu>>; Bharadwaj, Srikant mailto:srikant.bharad...@amd.com>>; David Fong mailto:da...@chronostech.com>> Subject: [gem5-users]

[gem5-users] Re: gem5 : X86 + GCN3 (gfx801) + test_fwd_lrn

2022-04-01 Thread David Fong via gem5-users
AM To: gem5 users mailing list mailto:gem5-users@gem5.org>>; Poremba, Matthew mailto:matthew.pore...@amd.com>>; Matt Sinclair mailto:sincl...@cs.wisc.edu>> Cc: Kyle Roarty mailto:kroa...@wisc.edu>>; Bharadwaj, Srikant mailto:srikant.bharad...@amd.com>>; David Fong ma

[gem5-users] Re: gem5 : X86 + GCN3 (gfx801) + test_fwd_lrn

2022-03-30 Thread Bharadwaj, Srikant via gem5-users
Kyle Roarty mailto:kroa...@wisc.edu>>; Bharadwaj, Srikant mailto:srikant.bharad...@amd.com>>; David Fong mailto:da...@chronostech.com>> Subject: [gem5-users] Re: gem5 : X86 + GCN3 (gfx801) + test_fwd_lrn Hi Matt P, Any feedback for my question below regarding stats (stats.txt) to chec

  1   2   3   >