Hi to all.
I'm the beginner of the original mail thread "Unconditional , Conditional
Program Jumps " .
Honestly the discussion is diverted from the initial point .
I'm talking about G code programming nothing else.
So what is conventional, best practises , dogma of whatever else in all of
the other programing languages is less important.
For sure , as it was pointed out , the majority (if not all) of the branded
manufacturers of CNC controllers implement
the GOTO statements inside their G code instruction sets.
I do believe that if this is true (and it is) the meaning is because it is
needed and/or useful .
For my personal experience the absence of this kind of instructions is a
missed opportunity of empowering and give more flexibility to the
conditional/unconditional programming .
I don't know how much difficult is to implement it into the LCNC G code
interpreter, so I only can make a wish hoping that the LCNC board of
developers will implement this feature .
If it's not possible I can only be sorry about that.
When you have a toolbox as much tools available you have as much freedom
you get.
After that anyone can use whatever tool wants to do the job.
The GOTO statement it's not breaking any "fisical law" to be rejected.
In particular for some of the applications I would make , without GOTOs is
simply not possible/not convenient to use LCNC due to the exaggerated
complication
of the G code program writing.
As Chris Radek wrote :

If someone wants to, and it gets done in a sane way (I think the
> 0xxx LABEL ... 0xxx GOTO would be most consistent with the way our O
> words work), let's, as they say, thoughtfully consider the patch.


can be a good starting point.

Regards

Alex

On Sat, Oct 4, 2014 at 5:32 AM, craig <cr...@facework.com> wrote:

> The 200 branch go to:
>
> Many years ago,/in the days of punch cards and mainframes,/ I wrote, /in
> FORTRAN/ a complex radar system modeling/simulation tool to evaluate
> radar system designs in a variety of environments. The program used a
> large variety of signal generation and signal processing modules to
> predict how designs and design changes would change performance..  The
> program generated  simulated radar returns from simple and complex
> targets (things we might want to see), clutter (the things in the
> environment we didn't want to see) and jamming (signals the enemy might
> generate to make things difficult) for radar configurations, and
> dynamics. (What comes out of radar antennas is dependent on  the
> relative dynamics of anything that might be reflecting radar energy as
> well as antenna design and pointing. )
>
> There were modules to generate the various radar returns for various
> conditions and designs.  There were also modules to model the various
> signal processing elements in radar receivers, etc.
>
> The program flow was controlled by an integer array telling it what to
> do next after if finished each step using a 200 branch go to statement.
> It required a good understanding of radar systems and signal processing
> to run this program.   It was used to aid the design of a number of
> radar and sonar systems.
>
> Thirty years after I left that company somebody told me they just found
> a bug in the program.   They were still using it.
>
> Craig
>
>
>
> ------------------------------------------------------------------------------
> Meet PCI DSS 3.0 Compliance Requirements with EventLog Analyzer
> Achieve PCI DSS 3.0 Compliant Status with Out-of-the-box PCI DSS Reports
> Are you Audit-Ready for PCI DSS 3.0 Compliance? Download White paper
> Comply to PCI DSS 3.0 Requirement 10 and 11.5 with EventLog Analyzer
>
> http://pubads.g.doubleclick.net/gampad/clk?id=154622311&iu=/4140/ostg.clktrk
> _______________________________________________
> Emc-users mailing list
> Emc-users@lists.sourceforge.net
> https://lists.sourceforge.net/lists/listinfo/emc-users
>
------------------------------------------------------------------------------
Meet PCI DSS 3.0 Compliance Requirements with EventLog Analyzer
Achieve PCI DSS 3.0 Compliant Status with Out-of-the-box PCI DSS Reports
Are you Audit-Ready for PCI DSS 3.0 Compliance? Download White paper
Comply to PCI DSS 3.0 Requirement 10 and 11.5 with EventLog Analyzer
http://pubads.g.doubleclick.net/gampad/clk?id=154622311&iu=/4140/ostg.clktrk
_______________________________________________
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users

Reply via email to