[USRP-users] Expected FPGA Compatibility number 36, but got 38

2020-11-13 Thread Jerrid Plymale via USRP-users
Hello All, So I have been working on transitioning to using UHD 4.0 from 3.15, and I am running into a problem. I was able to get the software updated without issue, then I downloaded the new FPGA images using the uhd_image_downloader and updated the two USRP X310's I am working with. After

Re: [USRP-users] Underruns causing USRP to stop transmitting and receiving

2020-10-20 Thread Jerrid Plymale via USRP-users
transmitting and receiving Probably better served by the discuss-gnuradio list and the chat.gnuradio.org online chat community. Sent from my iPhone On Oct 20, 2020, at 3:30 PM, Jerrid Plymale via USRP-users mailto:usrp-users@lists.ettus.com>> wrote:  Hello All, So I am working on writing an em

[USRP-users] Underruns causing USRP to stop transmitting and receiving

2020-10-20 Thread Jerrid Plymale via USRP-users
Hello All, So I am working on writing an embedded python block in GNU Radio Companion to preform some analysis of RF signals that is received by a USRP x310 and transmitted back out of the USRP after analysis has been done. I have been running into some underruns lately that I have not been

[USRP-users] Running multiple USRP x310's on same PC causes network unreachable

2020-08-19 Thread Jerrid Plymale via USRP-users
Hi All, So I am having an issue with one of the USRP's I am using where it, after running for less than a couple minutes, will lose its network connection to the PC. To be specific, I am running two USRP x310's on the same PC, each is connected to its own 10 Gig Ethernet port on the PC, and

Re: [USRP-users] Signal transmission on a USRP X310

2020-08-06 Thread Jerrid Plymale via USRP-users
nsmission on a USRP X310 On Thu, Aug 6, 2020 at 2:02 PM Jerrid Plymale via USRP-users mailto:usrp-users@lists.ettus.com>> wrote: It does, and actually it has a strength closer to -70 dBm, I had my markers in the wrong place when I thought the signal was at -100 dBm. If you transmit al

Re: [USRP-users] Signal transmission on a USRP X310

2020-08-06 Thread Jerrid Plymale via USRP-users
transmission on a USRP X310 On Thu, Aug 6, 2020 at 2:02 PM Jerrid Plymale via USRP-users mailto:usrp-users@lists.ettus.com>> wrote: It does, and actually it has a strength closer to -70 dBm, I had my markers in the wrong place when I thought the signal was at -100 dBm. If you transmit a

Re: [USRP-users] Signal transmission on a USRP X310

2020-08-06 Thread Jerrid Plymale via USRP-users
: [USRP-users] Signal transmission on a USRP X310 Does the spur follow the tuned frequency? Sent from my iPhone On Aug 6, 2020, at 1:12 PM, Jerrid Plymale via USRP-users mailto:usrp-users@lists.ettus.com>> wrote:  Marcus, Ok that makes sense, I thought it might be something to do with the

Re: [USRP-users] Signal transmission on a USRP X310

2020-08-06 Thread Jerrid Plymale via USRP-users
Marcus, Ok that makes sense, I thought it might be something to do with the mixers and leakage, but I wasn't sure. So I have tried lowering the gain to 0 dB, and there is no change in the signal. The signal does cause problems as I am working on a GPS related application, so even though -100

Re: [USRP-users] Signal transmission on a USRP X310

2020-08-05 Thread Jerrid Plymale via USRP-users
Hello Marcus, I apologize for the delay in response, but I was able to solve the problem I was having with sending a signal at frequencies above 1.3 GHz. Turns out, in one of the two USRP's I am using for my project, I managed to get the cables for TX/RX and the RX2 flipped around when I set

[USRP-users] Signal transmission on a USRP X310

2020-07-21 Thread Jerrid Plymale via USRP-users
Hello All, So I have been running into some interesting issues lately with using a USRP X310 as a signal generator. I have a UBX 40 Duaghterboard installed in the USRP, and I have been testing sending signals at varying frequencies. I have noticed that I can set the center frequency of the

Re: [USRP-users] Setting up an X310 as a signal generator

2020-05-01 Thread Jerrid Plymale via USRP-users
Padalino Sent: Friday, May 1, 2020 10:28 AM To: Jerrid Plymale Cc: usrp-users@lists.ettus.com Subject: Re: [USRP-users] Setting up an X310 as a signal generator On Fri, May 1, 2020 at 1:23 PM Jerrid Plymale via USRP-users mailto:usrp-users@lists.ettus.com>> wrote: Hello All, So I have been

Re: [USRP-users] Setting up an X310 as a signal generator

2020-05-01 Thread Jerrid Plymale via USRP-users
To: Jerrid Plymale Cc: usrp-users@lists.ettus.com Subject: Re: [USRP-users] Setting up an X310 as a signal generator On Fri, May 1, 2020 at 1:23 PM Jerrid Plymale via USRP-users mailto:usrp-users@lists.ettus.com>> wrote: Hello All, So I have been trying to set up a USRP X310 as a

[USRP-users] Setting up an X310 as a signal generator

2020-05-01 Thread Jerrid Plymale via USRP-users
Hello All, So I have been trying to set up a USRP X310 as a signal generator for about a week now, and I'm having some issues. Currently I am using gnuradio-companion to develop the functionality. I have three sets of signal sources that are of float type, creating the I and Q values that get

[USRP-users] Building and Installing UHD with RFNoC and GNURadio 3.8 from source with Tensorflow 2.0 integration

2020-02-27 Thread Jerrid Plymale via USRP-users
Hey all, So I need some direction for what I am trying to do as I am not sure that it is even possible. We are using machine learning in the project I am working on, and would like to incorporate that into GNURadio if possible. So I am currently using an anaconda environment set up with

[USRP-users] Daughterboard configuration options

2020-01-08 Thread Jerrid Plymale via USRP-users
Hey All, So I was wondering if anyone could tell me if the UBX Daughterboards are configurable at all, and if so how? For example, looking at the block diagram for the UBX 40 Daughterboard, it seems like some of the clock parameters and filter parameters are that should be controllable but I

Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2020-01-07 Thread Jerrid Plymale via USRP-users
Hello Cherif and Brian, I did find the clock signal re-definitions you were talking about in *rfnoc_ce_auto_inst_x310.v*, and I did notice that the file is generated by the *uhd_image_builder.py file*, so I looked in the *uhd_image_builder.py* file to find the code that generates

Re: [USRP-users] IOError: [Errno 2] No such file or directory - uhd_image_builder_gui crashes when trying to run

2020-01-03 Thread Jerrid Plymale via USRP-users
Hey Marcus, Thanks for your reply, it reminded me what I needed to do. In the version I am running, the e300 folder has been replaced with the e31x folder, so I just changed the e300 target to e31x in the uhd_image_builder_gui python file and it is working again now. Not sure how to check the

[USRP-users] IOError: [Errno 2] No such file or directory - uhd_image_builder_gui crashes when trying to run

2020-01-03 Thread Jerrid Plymale via USRP-users
Hey All, So I recently started having issues with the uhd_image_builder_gui after doing a fresh install of UHD and GNU Radio with RFNoC. Below is the output of the terminal when I try to run the gui. Anyone run into this issue and know how to fix it? Traceback (most recent call last): File

Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2020-01-02 Thread Jerrid Plymale via USRP-users
Yes, I have just been following the guide on the getting started with RFNoC page. Best Regards, Jerrid From: Brian Padalino Sent: Thursday, January 2, 2020 8:52 AM To: Jerrid Plymale Cc: usrp-users@lists.ettus.com Subject: Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC

Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2020-01-02 Thread Jerrid Plymale via USRP-users
I am trying to generate a custom RFNoC FPGA Image using this version of UHD. Best Regards, Jerrid From: Brian Padalino Sent: Thursday, January 2, 2020 8:44 AM To: Jerrid Plymale Cc: usrp-users@lists.ettus.com Subject: Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC

Re: [USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2020-01-02 Thread Jerrid Plymale via USRP-users
Hello Brian, I have installed UHD 3.15.0.0-124-geb448043 Best Regards, Jerrid ___ USRP-users mailing list USRP-users@lists.ettus.com http://lists.ettus.com/mailman/listinfo/usrp-users_lists.ettus.com

[USRP-users] Building RFNoC image with default blocks fails, [DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers

2019-12-27 Thread Jerrid Plymale via USRP-users
Hello all, So I have been attempting to build an X310 HG FPGA image following the steps in the getting started guide for RFNoC for a while now, and I have been getting the following error: Starting DRC Task INFO: [DRC 23-27] Running DRC with 8 threads ERROR: [DRC MDRV-1] Multiple Driver Nets: